aboutsummaryrefslogtreecommitdiffstats
path: root/backends
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #1175 from whitequark/write_verilog-fix-case-attr-positionClifford Wolf2019-07-091-3/+2
* Merge pull request #1163 from whitequark/more-case-attrsClifford Wolf2019-07-091-6/+11
* Merge pull request #1162 from whitequark/rtlil-case-attrsClifford Wolf2019-07-091-0/+5
* Improve BTOR2 handling of undriven wiresClifford Wolf2019-06-261-3/+27
* Escape scope names starting with dollar sign in smtio.pyClifford Wolf2019-06-261-1/+4
* Fix json formattingMiodrag Milanovic2019-06-211-1/+1
* Add upto and offset to JSON portsMiodrag Milanovic2019-06-211-0/+4
* Added JSON upto and offsetClifford Wolf2019-06-211-0/+4
* Fix gcc invalidation behaviour for write_aigerEddie Hung2019-06-201-1/+2
* Support filename rewrite in backendsBen Widawsky2019-06-184-0/+4
* Add timescale and generated-by header to yosys-smtbmc MkVcdClifford Wolf2019-06-161-0/+2
* Fix handling of offset and upto module ports in write_blif, fixes #1040Clifford Wolf2019-05-251-6/+20
* Add proper error message for btor recursion_guardClifford Wolf2019-05-241-1/+7
* Fix static shift operands, neg result type, minor formattingJim Lawson2019-05-211-3/+7
* Merge pull request #991 from kristofferkoch/gcc9-warningsClifford Wolf2019-05-081-1/+2
|\
| * Fix all warnings that occurred when compiling with gcc9Kristoffer Ellersgaard Koch2019-05-081-1/+2
* | Fix handling of partial init attributes in write_verilog, fixes #997Clifford Wolf2019-05-071-1/+2
* | Add "real" keyword to ilang formatClifford Wolf2019-05-061-1/+4
* | Improve write_verilog specify supportClifford Wolf2019-05-041-15/+71
* | Merge remote-tracking branch 'origin/master' into clifford/specifyEddie Hung2019-05-031-25/+62
|\|
| * Re-indent firrtl.cc:struct memory - no functional change.Jim Lawson2019-05-011-25/+25
| * Fix #938 - Crash occurs in case when use write_firrtl commandJim Lawson2019-05-011-4/+41
* | Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std nom...Clifford Wolf2019-04-231-2/+2
* | Add $specify2/$specify3 support to write_verilogClifford Wolf2019-04-231-0/+47
* | Add support for $assert/$assume/$cover to write_verilogClifford Wolf2019-04-231-0/+10
|/
* Add support for zero-width signals to Verilog back-end, fixes #948Clifford Wolf2019-04-221-0/+8
* Merge pull request #943 from YosysHQ/clifford/whiteboxClifford Wolf2019-04-208-12/+12
|\
| * Revert "write_json to not write contents (cells/wires) of whiteboxes"Eddie Hung2019-04-181-59/+56
| * write_json to not write contents (cells/wires) of whiteboxesEddie Hung2019-04-181-56/+59
| * Add "whitebox" attribute, add "read_verilog -wb"Clifford Wolf2019-04-188-12/+12
* | Change "ne" to "neq" in btor2 outputClifford Wolf2019-04-191-1/+1
|/
* Refine memory support to deal with general Verilog memory definitions.Jim Lawson2019-04-011-30/+173
* Add support for memory initialization to write_btorClifford Wolf2019-03-231-0/+53
* Fix BTOR output tags syntax in writye_btorClifford Wolf2019-03-231-2/+1
* Fix smtbmc.py handling of zero appended stepsClifford Wolf2019-03-141-5/+5
* Fix a syntax bug in ilang backend related to process case statementsClifford Wolf2019-03-141-1/+1
* Merge pull request #869 from cr1901/win-shellClifford Wolf2019-03-141-1/+17
|\
| * Install launcher executable when running yosys-smtbmc on Windows.William D. Jones2019-03-131-1/+17
* | Improve determinism of IdString DB for similar scriptsClifford Wolf2019-03-111-0/+4
|/
* Fix signed $shift/$shiftx handling in write_smt2Clifford Wolf2019-03-091-1/+2
* Use SVA label in smt export if availableClifford Wolf2019-03-071-2/+2
* Ensure fid() calls make_id() for consistency; tests/simple/dff_init.v failsJim Lawson2019-03-041-2/+1
* Fix "write_edif -gndvccy"Clifford Wolf2019-03-011-1/+1
* Add "write_verilog -siminit"Clifford Wolf2019-02-281-2/+11
* Reduce amount of trailing whitespace in code baseLarry Doolittle2019-02-281-3/+3
* Merge pull request #827 from ucb-bar/firrtlfixesClifford Wolf2019-02-281-8/+20
|\
| * Fix FIRRTL to Verilog process instance subfield assignment.Jim Lawson2019-02-251-8/+20
* | Fix smt2 code generation for partially initialized memowy words, fixes #831Clifford Wolf2019-02-281-4/+11
|/
* Instead of INIT param on cells, use initial statement with hier ref asEddie Hung2019-02-171-18/+13
* Merge https://github.com/YosysHQ/yosys into dff_initEddie Hung2019-02-172-86/+246
|\