aboutsummaryrefslogtreecommitdiffstats
path: root/backends
Commit message (Expand)AuthorAgeFilesLines
* Replace "ILANG" with "RTLIL" everywhere.whitequark2020-08-265-34/+49
* Ensure smt2 comments are associated with accessorsNoah Moroze2020-08-201-9/+20
* Respect \A_SIGNED for $shiftXiretza2020-08-183-17/+23
* cxxrtl.h: Fix incorrect CarryOut in alu()Andy Knowles2020-08-121-8/+3
* cxxrtl.h: Fix incorrect CarryOut in alu when Bits % 32 != 0 && Invert == FalseAndy Knowles2020-08-121-2/+8
* verilog_backend: Add handling for all FF types.Marcelina Kościelnicka2020-07-301-252/+134
* smtio: Emit `mode: start` options before `set-logic` command and any other op...Alberto Gonzalez2020-07-201-1/+8
* smtio: Add support for parsing `yosys-smt2-solver-option` info statements.Alberto Gonzalez2020-07-201-3/+10
* smt2: Add `-solver-option` option.Alberto Gonzalez2020-07-201-0/+13
* Only allow "sat" and "unsat" smt solver responses in yosys-smtbmcClaire Wolf2020-07-202-4/+4
* Merge pull request #2273 from whitequark/write-verilog-always-star-initialclairexen2020-07-161-0/+5
|\
| * verilog_backend: in non-SV mode, add a trigger for `always @*`.whitequark2020-07-161-0/+5
* | Merge pull request #2272 from whitequark/write-verilog-svclairexen2020-07-161-11/+18
|\|
| * verilog_backend: add `-sv` option, make `-o <filename>.sv` work.whitequark2020-07-161-11/+18
* | cxxrtl: fix typo. NFC.whitequark2020-07-141-1/+1
|/
* cxxrtl: expose eval() and commit() via the C API.whitequark2020-07-122-0/+20
* cxxrtl: add missing extern "C".whitequark2020-07-091-0/+1
* cxxrtl: update help text.whitequark2020-06-261-2/+2
* Merge pull request #2168 from whitequark/assert-unused-exprsclairexen2020-06-251-2/+0
|\
| * Use (and ignore) the expression provided to log_assert in NDEBUG builds.whitequark2020-06-191-2/+0
* | cxxrtl: Add support for the new FF types.Marcelina Kościelnicka2020-06-241-5/+22
|/
* Merge pull request #2173 from whitequark/use-cxx11-final-overridewhitequark2020-06-1917-40/+40
|\
| * Use C++11 final/override keywords.whitequark2020-06-1817-40/+40
* | cxxrtl: add .get() and .set() accessors on value<> and wire<>.whitequark2020-06-191-6/+47
|/
* Merge pull request #2167 from whitequark/cxxrtl-fix-ndebugwhitequark2020-06-181-1/+2
|\
| * cxxrtl: don't compute vital values in log_assert().whitequark2020-06-171-1/+2
* | Merge pull request #2163 from jfng/cxxrtl-blackbox-debuginfowhitequark2020-06-171-13/+17
|\ \
| * | cxxrtl: restrict the debug info of a blackbox to its ports.Jean-François Nguyen2020-06-161-13/+17
| |/
* | Merge pull request #2160 from whitequark/cxxrtl-fix-warningwhitequark2020-06-171-21/+23
|\ \ | |/ |/|
| * cxxrtl: avoid unused variable warning for transparent $memrd ports. NFC.whitequark2020-06-151-21/+23
* | Merge pull request #2159 from MerryMage/cxxrtl-mulwhitequark2020-06-151-17/+22
|\ \ | |/ |/|
| * cxxrtl: Implement chunk-wise multiplicationMerryMage2020-06-151-17/+22
* | Merge pull request #2158 from miek/sshr-sign-extensionwhitequark2020-06-151-2/+4
|\ \ | |/ |/|
| * cxxrtl: fix sshr sign-extension.Mike Walters2020-06-151-2/+4
* | Merge pull request #2151 from whitequark/cxxrtl-fix-rzextwhitequark2020-06-131-2/+2
|\ \ | |/ |/|
| * cxxrtl: fix rzext().whitequark2020-06-131-2/+2
* | Merge pull request #2145 from whitequark/cxxrtl-splitnetswhitequark2020-06-135-67/+156
|\ \
| * | cxxrtl: handle multipart signals.whitequark2020-06-115-27/+94
| * | cxxrtl: expose RTLIL::{Wire,Memory}->start_offset in debug info.whitequark2020-06-113-40/+62
* | | cxxrtl: always inline internal cells and slice/concat operations.whitequark2020-06-131-4/+108
| |/ |/|
* | cxxrtl: elide $pmux cells.whitequark2020-06-121-30/+16
* | cxxrtl: annotate port direction as comments.whitequark2020-06-121-1/+8
* | cxxrtl: unbuffer output wires of toplevel module.whitequark2020-06-121-1/+1
* | cxxrtl: simplify unbuffering of input wires.whitequark2020-06-121-20/+17
|/
* Merge pull request #2141 from whitequark/cxxrtl-cxx11whitequark2020-06-103-8/+10
|\
| * cxxrtl: restore C++11 compatibility.whitequark2020-06-101-1/+2
| * cxxrtl: fix a few gcc warnings.whitequark2020-06-101-5/+6
| * Fix formatting. NFC.whitequark2020-06-101-2/+2
* | cxxrtl: disambiguate values/wires and their aliases in debug info.whitequark2020-06-104-9/+50
|/
* cxxrtl: allow unbuffering without localizing.whitequark2020-06-091-40/+74