aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* write_verilog: handle the $shift cell.whitequark2018-12-161-0/+29
* Merge pull request #736 from whitequark/select_assert_listClifford Wolf2018-12-162-9/+51
|\
| * select: print selection if a -assert-* flag causes an error.whitequark2018-12-161-8/+50
| * write_verilog: add a missing newline.whitequark2018-12-161-1/+1
* | Rename "fine:" label to "map:" in "synth_ice40"Clifford Wolf2018-12-161-1/+1
* | Merge pull request #704 from webhat/feature/fix-awkClifford Wolf2018-12-161-2/+3
|\ \
| * | Using awk rather than gawkDaniƫl W. Crompton2018-11-191-2/+3
* | | Merge pull request #738 from smunaut/issue_737Clifford Wolf2018-12-161-19/+29
|\ \ \
| * | | verilog_parser: Properly handle recursion when processing attributesSylvain Munaut2018-12-141-19/+29
* | | | Merge pull request #735 from daveshah1/trifixesClifford Wolf2018-12-161-3/+4
|\ \ \ \
| * | | | deminout: Consider $tribuf cellsDavid Shah2018-12-121-2/+2
| * | | | deminout: Don't demote constant-driven inouts to inputsDavid Shah2018-12-121-1/+2
| |/ / /
* | | | Merge pull request #739 from whitequark/patch-1Clifford Wolf2018-12-161-0/+7
|\ \ \ \
| * | | | Add .editorconfig file.whitequark2018-12-161-0/+7
* | | | | Fix equiv_opt indentingClifford Wolf2018-12-161-139/+129
|/ / / /
* | | | Merge pull request #724 from whitequark/equiv_optClifford Wolf2018-12-166-27/+173
|\ \ \ \
| * | | | equiv_opt: pass -D EQUIV when techmapping.whitequark2018-12-074-6/+7
| * | | | equiv_opt: new command, for verifying optimization passes.whitequark2018-12-074-24/+169
* | | | | Merge pull request #734 from grahamedgecombe/fix-shuffled-bram-initdataClifford Wolf2018-12-161-0/+17
|\ \ \ \ \
| * | | | | memory_bram: Fix initdata bit order after shufflingGraham Edgecombe2018-12-111-0/+17
* | | | | | Merge pull request #730 from smunaut/ffssr_dont_touchClifford Wolf2018-12-161-0/+3
|\ \ \ \ \ \
| * | | | | | ice40: Honor the "dont_touch" attribute in FFSSR passSylvain Munaut2018-12-081-0/+3
| |/ / / / /
* | | | | | Merge pull request #729 from whitequark/write_verilog_initialClifford Wolf2018-12-161-0/+2
|\ \ \ \ \ \
| * | | | | | write_verilog: correctly map RTLIL `sync init`.whitequark2018-12-071-0/+2
| | |_|_|_|/ | |/| | | |
* | | | | | Merge pull request #725 from olofk/ram4k-initClifford Wolf2018-12-161-0/+19
|\ \ \ \ \ \
| * | | | | | Only use non-blocking assignments of SB_RAM40_4K for yosysOlof Kindgren2018-12-061-0/+19
* | | | | | | Merge pull request #714 from daveshah1/abc_preserve_namingClifford Wolf2018-12-161-29/+51
|\ \ \ \ \ \ \
| * | | | | | | abc: Preserve naming through ABC using 'dress' commandDavid Shah2018-12-061-29/+51
| |/ / / / / /
* | | | | | | Merge pull request #723 from whitequark/synth_ice40_map_gatesClifford Wolf2018-12-161-0/+4
|\ \ \ \ \ \ \
| * | | | | | | synth_ice40: split `map_gates` off `fine`.whitequark2018-12-061-0/+4
| | |_|_|/ / / | |/| | | | |
* | | | | | | Merge pull request #722 from whitequark/rename_srcClifford Wolf2018-12-161-0/+50
|\ \ \ \ \ \ \
| * | | | | | | rename: add -src, for inferring names from source locations.whitequark2018-12-051-0/+50
| |/ / / / / /
* | | | | | | Merge pull request #720 from whitequark/masterClifford Wolf2018-12-162-2/+2
|\ \ \ \ \ \ \ | |_|_|_|_|/ / |/| | | | | |
| * | | | | | lut2mux: handle 1-bit INIT constant in $lut cells.whitequark2018-12-051-1/+1
| * | | | | | opt_lut: simplify type conversion. NFC.whitequark2018-12-051-1/+1
| |/ / / / /
* | | | / / Add yosys-smtbmc support for btor witnessClifford Wolf2018-12-101-15/+100
| |_|_|/ / |/| | | |
* | | | | Add "yosys-smtbmc --btorwit" skeletonClifford Wolf2018-12-081-1/+19
* | | | | Fix btor init value handlingClifford Wolf2018-12-081-9/+13
| |_|/ / |/| | |
* | | | Merge pull request #727 from whitequark/opt_lutDavid Shah2018-12-073-5/+50
|\ \ \ \ | |_|/ / |/| | |
| * | | opt_lut: leave intact LUTs with cascade feeding module outputs.whitequark2018-12-073-0/+26
| * | | opt_lut: show original truth table for both cells.whitequark2018-12-071-2/+3
| * | | opt_lut: add -limit option, for debugging misoptimizations.whitequark2018-12-071-3/+21
|/ / /
* | | Add missing .gitignoreClifford Wolf2018-12-061-0/+8
* | | Bugfix in opt_expr handling of a<0 and a>=0Clifford Wolf2018-12-061-1/+1
* | | Verific updatesClifford Wolf2018-12-062-54/+1
|/ /
* | Merge pull request #709 from smunaut/issue_708Clifford Wolf2018-12-051-1/+1
|\ \
| * | Make return value of $clog2 signedSylvain Munaut2018-11-241-1/+1
* | | Merge pull request #718 from whitequark/gate2lutClifford Wolf2018-12-0512-4/+151
|\ \ \
| * | | synth_ice40: add -noabc option, to use built-in LUT techmapping.whitequark2018-12-051-2/+16
| * | | gate2lut: new techlib, for converting Yosys gates to FPGA LUTs.whitequark2018-12-0510-0/+133