aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* Merge branch 'master' of https://github.com/YosysHQ/yosysPepijn de Vos2019-09-043-5/+6
|\
| * Merge pull request #1351 from emilazy/remove-which-useClifford Wolf2019-09-032-2/+2
| |\
| | * Use `command -v` rather than `which`Emily2019-09-032-2/+2
| |/
| * Merge branch 'master' of github.com:YosysHQ/yosysEddie Hung2019-09-026-21/+20
| |\
| * | Recognise built-in types (e.g. $_DFF_*)Eddie Hung2019-09-021-3/+4
* | | gowin: add splitnets to appease the PnRPepijn de Vos2019-09-041-0/+1
| |/ |/|
* | Merge pull request #1344 from YosysHQ/eddie/ice40_signed_maccEddie Hung2019-09-013-12/+10
|\ \
| * | Improve tests/ice40/macc.ys for SB_MAC16Eddie Hung2019-08-302-7/+10
| * | Do not restrict multiplier to unsignedEddie Hung2019-08-301-5/+0
* | | Merge pull request #1347 from mmicko/fix_select_error_msgClifford Wolf2019-09-011-2/+2
|\ \ \
| * | | Fix select command error msg, fixes issue #1081Miodrag Milanovic2019-09-011-2/+2
* | | | Merge pull request #1346 from mmicko/fix_ecp5_cells_simDavid Shah2019-09-011-6/+7
|\ \ \ \ | |/ / / |/| | |
| * | | Fix TRELLIS_FF simulation modelMiodrag Milanovic2019-08-311-6/+7
|/ / /
* | / ecp5_gsr: Fix typoDavid Shah2019-08-311-1/+1
| |/ |/|
* | Missing dep for test_pmgenEddie Hung2019-08-301-1/+1
* | Merge pull request #1340 from YosysHQ/eddie/abc_no_cleanEddie Hung2019-08-304-35/+35
|\ \ | |/ |/|
| * Nicer formattingEddie Hung2019-08-291-1/+7
| * parse_xaiger() to do "clean -purge"Eddie Hung2019-08-291-1/+1
| * Output has priority over input when stitching in abc9Eddie Hung2019-08-291-13/+10
| * Group abc_* attribute doc with other attributesEddie Hung2019-08-291-17/+17
| * abc9 to not call "clean" at end of run (often called outside)Eddie Hung2019-08-291-3/+0
* | Merge pull request #1310 from SergeyDegtyar/masterEddie Hung2019-08-3037-1/+766
|\ \
| * | macc test fixSergeyDegtyar2019-08-301-1/+1
| * | Fix macc testSergeyDegtyar2019-08-301-1/+1
| * | div_mod test fixSergeyDegtyar2019-08-301-1/+1
| * | fix div_mod testSergeyDegtyar2019-08-301-1/+1
| * | Fix test for counterSergeyDegtyar2019-08-301-1/+1
| * | Merge branch 'master' into masterSergey2019-08-306-27/+51
| |\ \
| * | | Add new tests.SergeyDegtyar2019-08-3010-0/+200
| * | | Remove unnecessary common.v(assertions for testbenches).SergeyDegtyar2019-08-301-47/+0
| * | | Remove simulation from run-test.sh (unnecessary paths)SergeyDegtyar2019-08-301-16/+9
| * | | Remove simulation from run-test.shSergeyDegtyar2019-08-301-6/+0
| * | | Merge pull request #2 from YosysHQ/masterSergey2019-08-2910-127/+341
| |\ \ \ | | | |/ | | |/|
| * | | Merge pull request #3 from YosysHQ/Sergey/tests_ice40Sergey2019-08-2974-820/+3636
| |\ \ \
| | * | | Comment out *.sh used for testbenches as we have no moreEddie Hung2019-08-281-8/+8
| | * | | Use equiv for memory and dpramEddie Hung2019-08-284-168/+2
| | * | | Use equiv_opt for latchesEddie Hung2019-08-282-58/+10
| | * | | Merge remote-tracking branch 'origin/clifford/async2synclatch' into Sergey/te...Eddie Hung2019-08-2867-586/+3616
| | |\ \ \
| * | | | | Add comments for examples from Lattice user guideSergeyDegtyar2019-08-293-0/+9
| |/ / / /
| * | | | Revert "Add tests for ecp5"SergeyDegtyar2019-08-2831-863/+0
| * | | | Add tests for ecp5SergeyDegtyar2019-08-2831-0/+863
| * | | | Revert "Add tests for ecp5 architecture."SergeyDegtyar2019-08-2731-865/+0
| * | | | Add tests for ecp5 architecture.SergeyDegtyar2019-08-2731-0/+865
| * | | | Add tests for macc and rom;SergeyDegtyar2019-08-274-0/+55
| * | | | Fix pull requestSergeyDegtyar2019-08-232-7/+9
| * | | | Fix run-test.sh; Add new test for dpram.SergeyDegtyar2019-08-234-1/+120
| * | | | Fix path in run-test.shSergeyDegtyar2019-08-231-3/+3
| * | | | Merge pull request #1 from YosysHQ/Sergey/tests_ice40Sergey2019-08-2318-138/+91
| |\ \ \ \
| | * | | | Remove adffs_tb.vEddie Hung2019-08-221-75/+0
| | * | | | WIP for equivalency checking memoriesEddie Hung2019-08-221-1/+13