aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
...
* Disable opt_merge for $anyseq and $anyconstClifford Wolf2017-02-281-0/+3
* Use hex addresses in smtbmc vcd mem tracesClifford Wolf2017-02-281-1/+1
* Add "chformal -assert2assume" and friendsClifford Wolf2017-02-281-0/+44
* Add "chformal" passClifford Wolf2017-02-272-0/+239
* Add smtbmc support for memory vcd dumpingClifford Wolf2017-02-261-0/+98
* Fix extra newline bug in write_smt2Clifford Wolf2017-02-261-1/+1
* Fix bug in smtio unroll codeClifford Wolf2017-02-261-3/+2
* Fix assert checking in "yosys-smtbmc -c --append"Clifford Wolf2017-02-261-1/+1
* Improve (and fix for stbv mode) SMT2 memory APIClifford Wolf2017-02-263-47/+51
* Add support for "yosys-smtbmc -c --append"Clifford Wolf2017-02-251-1/+13
* Update ABC to hg rev 3a95bfa55df7Clifford Wolf2017-02-251-1/+1
* Merge branch 'klammerj-master'Clifford Wolf2017-02-251-56/+106
|\
| * Improve "write_edif" help messageClifford Wolf2017-02-251-7/+2
| * Move EdifNames out of double-private namespaceClifford Wolf2017-02-251-48/+45
| * Clean up edif code, swap bit indexing of "upto" portsClifford Wolf2017-02-251-17/+35
| * Merge branch 'master' of https://github.com/klammerj/yosys into klammerj-masterClifford Wolf2017-02-251-6/+46
|/|
| * Did as you requested, /but/...Johann Klammer2017-02-242-48/+32
| * add options for edif flavorsJohann Klammer2017-02-232-7/+63
* | Merge branch 'master' of github.com:cliffordwolf/yosysClifford Wolf2017-02-251-3/+4
|\ \
| * \ Merge pull request #322 from azonenberg/masterClifford Wolf2017-02-241-3/+4
| |\ \
| | * \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-02-247-37/+113
| | |\ \
| | * \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-02-162-3/+9
| | |\ \ \
| | * \ \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-02-1411-47/+240
| | |\ \ \ \
| | * \ \ \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-02-1110-58/+273
| | |\ \ \ \ \
| | * \ \ \ \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-02-0829-712/+1257
| | |\ \ \ \ \ \
| | * \ \ \ \ \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-01-153-3/+7
| | |\ \ \ \ \ \ \
| | * \ \ \ \ \ \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-01-055-42/+135
| | |\ \ \ \ \ \ \ \
| | * \ \ \ \ \ \ \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-01-014-4/+65
| | |\ \ \ \ \ \ \ \ \
| | * | | | | | | | | | greenpak4: Added POUT to GP_COUNTx cellsAndrew Zonenberg2017-01-011-3/+4
* | | | | | | | | | | | Add $live and $fair support to AIGER back-end.Clifford Wolf2017-02-251-8/+104
* | | | | | | | | | | | Add $live and $fair cell types, add support for s_eventually keywordClifford Wolf2017-02-2514-10/+80
|/ / / / / / / / / / /
* | | | | | | | | / / Add "write_smt2 -stbv"Clifford Wolf2017-02-243-49/+179
| |_|_|_|_|_|_|_|/ / |/| | | | | | | | |
* | | | | | | | | | Add SMT2 statebv mode (inactive for now)Clifford Wolf2017-02-241-20/+47
* | | | | | | | | | Merge pull request #320 from joshhead/uninstall-binpath-fixClifford Wolf2017-02-241-1/+1
|\ \ \ \ \ \ \ \ \ \ | |_|_|_|_|_|_|_|_|/ |/| | | | | | | | |
| * | | | | | | | | Add missing slashes in paths for make uninstallJosh Headapohl2017-02-231-1/+1
|/ / / / / / / / /
* | | | | | | | | Add support for SystemVerilog unique, unique0, and priority caseClifford Wolf2017-02-232-4/+25
* | | | | | | | | Preserve string parametersClifford Wolf2017-02-231-2/+8
* | | | | | | | | Fix mingw compile issue (2nd attempt)Clifford Wolf2017-02-231-2/+2
* | | | | | | | | Fix mingw compile issue (maybe.. I can't test it)Clifford Wolf2017-02-231-2/+2
* | | | | | | | | Added SystemVerilog support for ++ and --Clifford Wolf2017-02-232-1/+12
* | | | | | | | | Update ABC to hg rev 8da4dc435b9fClifford Wolf2017-02-221-1/+1
* | | | | | | | | Add "yosys-smtbmc -S <opt>"Clifford Wolf2017-02-191-7/+18
| |_|_|_|_|_|_|/ |/| | | | | | |
* | | | | | | | Copy attributes to _TECHMAP_REPLACE_ cellsClifford Wolf2017-02-161-2/+8
* | | | | | | | Fix eval implementation of $_NOR_Clifford Wolf2017-02-161-1/+1
| |_|_|_|_|_|/ |/| | | | | |
* | | | | | | Fix incorrect "incompatible re-declaration of wire" error in tasks/functionsClifford Wolf2017-02-141-2/+9
* | | | | | | Add warning about x/z bits left unconnected in EDIF outputClifford Wolf2017-02-141-2/+5
* | | | | | | Fix double-call of log_pop() in synth_greenpak4Clifford Wolf2017-02-141-2/+0
* | | | | | | Merge pull request #313 from azidar/bugfix-assign-wmaskClifford Wolf2017-02-143-27/+181
|\ \ \ \ \ \ \
| * | | | | | | More progress on Firrtl backend.Adam Izraelevitz2017-02-133-27/+181
|/ / / / / / /
* | | | | | | Do not fix port widths on any blackbox instancesClifford Wolf2017-02-131-1/+1