aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
...
| * | | | stoi -> atoiEddie Hung2019-08-0741-121/+121
| | | | |
| * | | | IdString::str().substr() -> IdString::substr()Eddie Hung2019-08-062-2/+2
| | | | |
| * | | | Fix typosEddie Hung2019-08-063-9/+9
| | | | |
| * | | | Fix spacingEddie Hung2019-08-061-3/+3
| | | | |
| * | | | Use std::stoi instead of atoi(<str>.c_str())Eddie Hung2019-08-0636-109/+109
| | | | |
| * | | | Use IdString::begins_with()Eddie Hung2019-08-065-28/+30
| | | | |
| * | | | RTLIL::S{0,1} -> State::S{0,1}Eddie Hung2019-08-062-21/+21
| | | | |
| * | | | Use State::S{0,1}Eddie Hung2019-08-0610-19/+19
| | | | |
| * | | | Make liberal use of IdString.in()Eddie Hung2019-08-0618-51/+45
| | | | |
| * | | | Cleanup opt_expr.ccEddie Hung2019-08-061-35/+30
| | |_|/ | |/| |
* | | | Merge pull request #1261 from YosysHQ/clifford/verific_initClifford Wolf2019-08-103-12/+74
|\ \ \ \ | | | | | | | | | | Automatically prune init attributes in verific front-end
| * | | | Automatically prune init attributes in verific front-end, fixes #1237Clifford Wolf2019-08-073-12/+74
| | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | Merge pull request #1263 from ucb-bar/firrtl_err_on_unsupported_cellClifford Wolf2019-08-101-1/+1
|\ \ \ \ \ | | | | | | | | | | | | FIRRTL error on unsupported cell
| * \ \ \ \ Merge branch 'master' into firrtl_err_on_unsupported_cellJim Lawson2019-08-0764-489/+1315
| |\ \ \ \ \ | | | |_|/ / | | |/| | | | | | | | | | | | | | | # Conflicts: # backends/firrtl/firrtl.cc
| * | | | | Call log_error() instead of log_warning() on unsupported cell type in FIRRTL ↵Jim Lawson2019-07-241-1/+1
| | | | | | | | | | | | | | | | | | | | | | | | backend.
* | | | | | Merge pull request #1270 from YosysHQ/eddie/alu_lcu_docClifford Wolf2019-08-101-8/+36
|\ \ \ \ \ \ | | | | | | | | | | | | | | Add a few comments to document $alu and $lcu
| * | | | | | Reformat so it shows up/looks nice when "help $alu" and "help $alu+"Eddie Hung2019-08-091-25/+34
| | | | | | |
| * | | | | | A bit more on where $lcu comes fromEddie Hung2019-08-091-0/+2
| | | | | | |
| * | | | | | Add more commentsEddie Hung2019-08-091-4/+18
| | | | | | |
| * | | | | | Add a few comments to document $alu and $lcuEddie Hung2019-08-081-9/+12
| | | | | | |
* | | | | | | Merge pull request #1272 from mmicko/travis_fixClifford Wolf2019-08-101-1/+1
|\ \ \ \ \ \ \ | | | | | | | | | | | | | | | | Propagate parameters for Travis build
| * | | | | | | ABC requires it like thisMiodrag Milanovic2019-08-091-1/+1
| | | | | | | |
| * | | | | | | Propagate parameters for Travis buildMiodrag Milanovic2019-08-091-1/+1
| | | | | | | |
* | | | | | | | Merge pull request #1274 from YosysHQ/eddie/fix_1271Clifford Wolf2019-08-101-0/+1
|\ \ \ \ \ \ \ \ | | | | | | | | | | | | | | | | | | Add __STDC_FORMAT_MACROS before <inttypes.h> as per @mithro
| * | | | | | | | Add __STDC_FORMAT_MACROS before <inttypes.h> as per @mithroEddie Hung2019-08-091-0/+1
| |/ / / / / / /
* | | | | | | | Merge pull request #1276 from YosysHQ/clifford/fix1273Clifford Wolf2019-08-101-15/+54
|\ \ \ \ \ \ \ \ | | | | | | | | | | | | | | | | | | Disable NMUX, AOI3, OAI3, AOI4, OAI4 in ABC default gate lib
| * | | | | | | | Disable NMUX, AOI3, OAI3, AOI4, OAI4 in ABC default gate lib, add "abc -g ↵Clifford Wolf2019-08-091-15/+54
| |/ / / / / / / | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | all", fixes #1273 Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | | | | Merge pull request #1267 from whitequark/proc_prune-fix-1243whitequark2019-08-091-9/+7
|\ \ \ \ \ \ \ \ | |/ / / / / / / |/| | | | | | | proc_prune: fix handling of exactly identical assigns
| * | | | | | | proc_prune: fix handling of exactly identical assigns.whitequark2019-08-081-9/+7
| |/ / / / / / | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Before this commit, in a process like: process $proc$bug.v:8$3 assign $foo \bar switch \sel case 1'1 assign $foo 1'1 assign $foo 1'1 case assign $foo 1'0 end end both of the "assign $foo 1'1" would incorrectly be removed. Fixes #1243.
| | | | | | * Wrong way aroundEddie Hung2019-08-101-2/+2
| | | | | | |
| | | | | | * cover_list -> cover as per @cliffordwolfEddie Hung2019-08-101-2/+2
| | | | | | |
| | | | | | * GrammarEddie Hung2019-08-091-1/+1
| | | | | | |
| | | | | | * Separate $alu handlingEddie Hung2019-08-091-7/+50
| | | | | | |
| | | | | | * Add $alu testsEddie Hung2019-08-091-0/+42
| | | | | | |
| | | | | | * opt_expr -fine to trim LSBs of $alu tooEddie Hung2019-08-091-4/+9
| | | | | | |
| | | | | | * Add alumacc versions of opt_expr testsEddie Hung2019-08-091-0/+84
| | | | | | |
| | | | | | * Add new $alu test, remove wreduceEddie Hung2019-08-091-11/+21
| | | | | | |
| | | | | | * Cleanup some moreEddie Hung2019-08-091-12/+0
| | | | | | |
| | | | | | * Simplify opt_expr tests using equiv_optEddie Hung2019-08-091-72/+23
| |_|_|_|_|/ |/| | | | |
* | | | | | Merge pull request #1264 from YosysHQ/eddie/fix_1254Eddie Hung2019-08-081-0/+6
|\ \ \ \ \ \ | | | | | | | | | | | | | | opt_lut to ignore LUT cells, or those that drive bits, with (* keep *)
| * | | | | | opt_lut to ignore LUT cells, or those that drive bits, with (* keep *)Eddie Hung2019-08-071-0/+6
| |/ / / / /
* | | | | | Merge pull request #1266 from YosysHQ/eddie/ice40_full_adderEddie Hung2019-08-0820-180/+180
|\ \ \ \ \ \ | |/ / / / / |/| | | | | Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER
| * | | | | Remove dump callEddie Hung2019-08-071-1/+0
| | | | | |
| * | | | | Move tests/various/opt* into tests/opt/Eddie Hung2019-08-075-1/+1
| | | | | |
| * | | | | Remove ice40_unlut call, simply do equiv_opt on synth_ice40Eddie Hung2019-08-071-3/+1
| | | | | |
| * | | | | Add testcase from removed opt_ff.{v,ys}Eddie Hung2019-08-071-0/+32
| | | | | |
| * | | | | Remove tests/opt/opt_ff.{v,ys} as they don't seem to do anything but runEddie Hung2019-08-072-24/+0
| | | | | |
| * | | | | Allow whitebox modules to be overwrittenEddie Hung2019-08-072-3/+1
| | | | | |
| * | | | | Update CHANGELOGEddie Hung2019-08-071-0/+2
| | | | | |
| * | | | | Add ice40_wrapcarry pass, rename $__ICE40_FULL_ADDER -> CARRY_WRAPPEREddie Hung2019-08-076-10/+128
| | | | | |