aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* frontend: cleanup to use more ID::*, more dict<> instead of map<>Eddie Hung2020-05-047-31/+34
* Merge pull request #1996 from boqwxp/rtlil_source_locationsEddie Hung2020-05-041-13/+13
|\
| * frontend: Include complete source location instead of just `location.first_li...Alberto Gonzalez2020-05-011-13/+13
* | Merge pull request #2000 from whitequark/log_error-trapwhitequark2020-05-032-3/+44
|\ \
| * | kernel: Trap in `log_error()` when a debugger is attached.whitequark2020-05-032-3/+44
* | | Merge pull request #2014 from YosysHQ/claire/fixoptaluClaire Wolf2020-05-032-7/+31
|\ \ \
| * | | test: add test for #2014Eddie Hung2020-05-021-0/+12
| * | | Fix the other "opt_expr -fine" bug introduced in 213a89558Claire Wolf2020-05-021-7/+19
* | | | Merge pull request #2013 from YosysHQ/eddie/aiger_fixesEddie Hung2020-05-026-42/+101
|\ \ \ \ | |/ / / |/| | |
| * | | abc9_ops: -reintegrate to be sensitive to start_offset tooEddie Hung2020-05-021-3/+5
| * | | tests: aiger test for wire->start_offset != 0Eddie Hung2020-05-022-0/+41
| * | | aiger: fixes for ports that have start_offset != 0Eddie Hung2020-05-023-39/+55
|/ / /
* | | Merge pull request #2010 from YosysHQ/claire/fixoptClaire Wolf2020-05-022-7/+29
|\ \ \
| * | | Add testcase for #2010Eddie Hung2020-05-011-0/+10
| * | | Fix "opt_expr -fine" bug introduced in 213a89558Claire Wolf2020-05-011-7/+19
* | | | Merge pull request #2001 from whitequark/wasiwhitequark2020-05-0117-29/+166
|\ \ \ \ | |/ / / |/| | |
| * | | Add WASI platform support.whitequark2020-04-3017-30/+167
* | | | Merge pull request #1997 from whitequark/document-ootbClaire Wolf2020-05-014-14/+29
|\ \ \ \
| * | | | README: explain how to do out-of-tree builds.whitequark2020-04-241-1/+8
| * | | | Fix out-of-tree builds configured as `SMALL := 1`.whitequark2020-04-241-11/+11
| * | | | gowin,ecp5: remove generated files in `make clean`.whitequark2020-04-242-2/+10
* | | | | Merge pull request #1981 from YosysHQ/claire/fix1837Claire Wolf2020-05-011-0/+4
|\ \ \ \ \ | |_|/ / / |/| | | |
| * | | | Clear current_scope when done with RTLIL generation, fixes #1837Claire Wolf2020-04-221-0/+4
* | | | | Merge pull request #1999 from YosysHQ/eddie/verific_enum_againEddie Hung2020-04-302-30/+68
|\ \ \ \ \
| * | | | | verific: ignore anonymous enumsEddie Hung2020-04-301-1/+4
| * | | | | verific: support VHDL enums tooEddie Hung2020-04-271-13/+43
| * | | | | verific: recover wiretype/enum attr as part of import_attributes()Eddie Hung2020-04-272-6/+35
| * | | | | Revert "verific: import enum attributes from verific"Eddie Hung2020-04-241-24/+0
* | | | | | Merge pull request #2008 from whitequark/editorconfig-abcwhitequark2020-04-301-0/+5
|\ \ \ \ \ \ | |_|_|_|_|/ |/| | | | |
| * | | | | Fix .editorconfig to not break abc.whitequark2020-04-301-0/+5
| | |_|/ / | |/| | |
* | | | | Merge pull request #1946 from YosysHQ/eddie/yosyshq_abcEddie Hung2020-04-273-6/+7
|\ \ \ \ \
| * | | | | Update CHANGELOG and manual for departure from upstreamEddie Hung2020-04-272-4/+5
| * | | | | abc: use YosysHQ/abc instead of upstream berkeley-abc/abcEddie Hung2020-04-271-2/+2
* | | | | | Merge pull request #1992 from YosysHQ/eddie/bugpoint_helpEddie Hung2020-04-272-28/+49
|\ \ \ \ \ \ | |/ / / / / |/| | | | |
| * | | | | bugpoint: improve messagingEddie Hung2020-04-241-7/+7
| * | | | | bugpoint: (* keep *) to (* bugpoint_keep *); also apply to modules/cellsEddie Hung2020-04-242-5/+15
| * | | | | bugpoint: skip ports with (* keep *) on; add headerEddie Hung2020-04-241-9/+18
| * | | | | bugpoint: improve help textEddie Hung2020-04-231-11/+13
* | | | | | Merge pull request #2002 from YosysHQ/dave/cxxrtl-widthwhitequark2020-04-251-1/+1
|\ \ \ \ \ \ | |_|/ / / / |/| | | | |
| * | | | | cxxrtl: Round up constant widthDavid Shah2020-04-251-1/+1
|/ / / / /
* | | | | Merge pull request #1998 from whitequark/cxxrtl-fixeswhitequark2020-04-241-49/+50
|\ \ \ \ \
| * | | | | cxxrtl: use `cxxrtl_` prefix rather than `cxxrtl.`whitequark2020-04-241-45/+45
| * | | | | cxxrtl: improve printing of narrow memories.whitequark2020-04-241-3/+4
| * | | | | cxxrtl: fix handling of parametric modules with large parameters.whitequark2020-04-241-1/+1
| | |_|_|/ | |/| | |
* | | | | Merge pull request #1995 from YosysHQ/eddie/fix_verific_wiretypeClaire Wolf2020-04-242-2/+7
|\ \ \ \ \ | | |_|/ / | |/| | |
| * | | | verific: do not assert if wire not found; warn insteadEddie Hung2020-04-232-2/+7
| | |/ / | |/| |
* / | | intel_alm: cleanup duplicationDan Ravensloft2020-04-245-113/+64
|/ / /
* | | Merge pull request #1974 from YosysHQ/eddie/abc9_disable_mfsEddie Hung2020-04-231-2/+17
|\ \ \
| * | | abc9: tolerate ABC nonzero exit code if output.aig; write before &mfsEddie Hung2020-04-203-6/+13
| * | | xilinx/ecp5: disable abc9's "&mfs" optimisationEddie Hung2020-04-203-0/+8