aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorClaire Wolf <clifford@clifford.at>2020-04-24 14:09:47 +0200
committerGitHub <noreply@github.com>2020-04-24 14:09:47 +0200
commit3eb24809a1d80f4b7015e6f8b1458e300727c244 (patch)
tree408caa1d94ffbb6deb6b933ded39fff956616420
parent4ca5f9799b7c7b40ac0fd91e7001286a99a4b29c (diff)
parentd3555c667c5057f39e0ea31a518d1f4922f2b2a4 (diff)
downloadyosys-3eb24809a1d80f4b7015e6f8b1458e300727c244.tar.gz
yosys-3eb24809a1d80f4b7015e6f8b1458e300727c244.tar.bz2
yosys-3eb24809a1d80f4b7015e6f8b1458e300727c244.zip
Merge pull request #1995 from YosysHQ/eddie/fix_verific_wiretype
verific: do not assert if wire not found; warn instead
-rw-r--r--frontends/verific/verific.cc8
-rw-r--r--kernel/constids.inc1
2 files changed, 7 insertions, 2 deletions
diff --git a/frontends/verific/verific.cc b/frontends/verific/verific.cc
index ae7fcefa7..89606a5bd 100644
--- a/frontends/verific/verific.cc
+++ b/frontends/verific/verific.cc
@@ -1162,8 +1162,12 @@ void VerificImporter::import_netlist(RTLIL::Design *design, Netlist *nl, std::se
if (!type_range->IsTypeEnum())
continue;
auto wire = module->wire(RTLIL::escape_id(id_name));
- log_assert(wire);
- wire->set_string_attribute(ID(wiretype), type_range->GetTypeName());
+ if (!wire) {
+ if (net->IsUserDeclared())
+ log_warning("Unable to find imported net '%s'.\n", net->Name());
+ continue;
+ }
+ wire->set_string_attribute(ID::wiretype, type_range->GetTypeName());
MapIter mj;
char *k, *v;
diff --git a/kernel/constids.inc b/kernel/constids.inc
index 68a5782fd..c5f672d09 100644
--- a/kernel/constids.inc
+++ b/kernel/constids.inc
@@ -199,6 +199,7 @@ X(wand)
X(whitebox)
X(WIDTH)
X(wildcard_port_conns)
+X(wiretype)
X(wor)
X(WORDS)
X(WR_ADDR)