aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sva')
-rw-r--r--tests/sva/sva_until.sv19
1 files changed, 19 insertions, 0 deletions
diff --git a/tests/sva/sva_until.sv b/tests/sva/sva_until.sv
new file mode 100644
index 000000000..a721e44b5
--- /dev/null
+++ b/tests/sva/sva_until.sv
@@ -0,0 +1,19 @@
+module top (
+ input clk,
+ input a, b, c, d
+);
+ default clocking @(posedge clk); endclocking
+
+ assert property (
+ a |=> b until_with (c ##1 d)
+ );
+
+`ifndef FAIL
+ assume property (
+ a |=> b && c
+ );
+ assume property (
+ b && c |=> b && d
+ );
+`endif
+endmodule