aboutsummaryrefslogtreecommitdiffstats
path: root/tests/memlib/memlib_wide_sp.txt
diff options
context:
space:
mode:
Diffstat (limited to 'tests/memlib/memlib_wide_sp.txt')
-rw-r--r--tests/memlib/memlib_wide_sp.txt22
1 files changed, 22 insertions, 0 deletions
diff --git a/tests/memlib/memlib_wide_sp.txt b/tests/memlib/memlib_wide_sp.txt
new file mode 100644
index 000000000..7780e4f9d
--- /dev/null
+++ b/tests/memlib/memlib_wide_sp.txt
@@ -0,0 +1,22 @@
+ram block \RAM_WIDE_SP {
+ cost 2;
+ abits 6;
+ widths 1 2 5 10 20 per_port;
+ byte 5;
+ init any;
+ port srsw "A" {
+ ifdef WIDTH_MIX {
+ option "WIDTH_MIX" 1 {
+ width mix;
+ }
+ } else {
+ option "WIDTH_MIX" 0 {
+ width tied;
+ }
+ }
+ clock posedge;
+ rden;
+ rdwr old;
+ rdsrst any ungated;
+ }
+}