aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--Makefile10
-rw-r--r--tests/anlogic/add_sub.v13
-rw-r--r--tests/anlogic/counter.v17
-rw-r--r--tests/anlogic/dffs.v15
-rw-r--r--tests/anlogic/fsm.v55
-rw-r--r--tests/anlogic/mux.v65
-rw-r--r--tests/anlogic/tribuf.v8
-rw-r--r--tests/arch/anlogic/.gitignore (renamed from tests/anlogic/.gitignore)0
-rw-r--r--tests/arch/anlogic/add_sub.ys (renamed from tests/anlogic/add_sub.ys)2
-rw-r--r--tests/arch/anlogic/counter.ys (renamed from tests/anlogic/counter.ys)2
-rw-r--r--tests/arch/anlogic/dffs.ys (renamed from tests/anlogic/dffs.ys)2
-rw-r--r--tests/arch/anlogic/fsm.ys (renamed from tests/anlogic/fsm.ys)2
-rw-r--r--tests/arch/anlogic/latches.ys (renamed from tests/anlogic/latches.ys)2
-rw-r--r--tests/arch/anlogic/logic.ys11
-rw-r--r--tests/arch/anlogic/memory.ys (renamed from tests/anlogic/memory.ys)2
-rw-r--r--tests/arch/anlogic/mux.ys (renamed from tests/anlogic/mux.ys)2
-rwxr-xr-xtests/arch/anlogic/run-test.sh (renamed from tests/anlogic/run-test.sh)2
-rw-r--r--tests/arch/anlogic/shifter.ys (renamed from tests/anlogic/shifter.ys)2
-rw-r--r--tests/arch/anlogic/tribuf.ys (renamed from tests/anlogic/tribuf.ys)2
-rw-r--r--tests/arch/common/add_sub.v12
-rw-r--r--tests/arch/common/adffs.v43
-rw-r--r--tests/arch/common/counter.v11
-rw-r--r--tests/arch/common/dffs.v13
-rw-r--r--tests/arch/common/fsm.v51
-rw-r--r--tests/arch/common/latches.v (renamed from tests/anlogic/latches.v)9
-rw-r--r--tests/arch/common/logic.v16
-rw-r--r--tests/arch/common/memory.v (renamed from tests/anlogic/memory.v)0
-rw-r--r--tests/arch/common/mul.v9
-rw-r--r--tests/arch/common/mux.v60
-rw-r--r--tests/arch/common/shifter.v (renamed from tests/anlogic/shifter.v)9
-rw-r--r--tests/arch/common/tribuf.v (renamed from tests/efinix/tribuf.v)6
-rw-r--r--tests/arch/ecp5/.gitignore (renamed from tests/ecp5/.gitignore)0
-rw-r--r--tests/arch/ecp5/add_sub.ys (renamed from tests/ecp5/add_sub.ys)2
-rw-r--r--tests/arch/ecp5/adffs.ys (renamed from tests/ecp5/adffs.ys)2
-rw-r--r--tests/arch/ecp5/counter.ys (renamed from tests/ecp5/counter.ys)2
-rw-r--r--tests/arch/ecp5/dffs.ys (renamed from tests/ecp5/dffs.ys)2
-rw-r--r--tests/arch/ecp5/dpram.v (renamed from tests/ecp5/dpram.v)0
-rw-r--r--tests/arch/ecp5/dpram.ys (renamed from tests/ecp5/dpram.ys)0
-rw-r--r--tests/arch/ecp5/fsm.ys (renamed from tests/ecp5/fsm.ys)2
-rw-r--r--tests/arch/ecp5/latches.ys (renamed from tests/ecp5/latches.ys)3
-rw-r--r--tests/arch/ecp5/logic.ys (renamed from tests/ecp5/logic.ys)2
-rw-r--r--tests/arch/ecp5/macc.v (renamed from tests/ecp5/macc.v)0
-rw-r--r--tests/arch/ecp5/macc.ys (renamed from tests/ecp5/macc.ys)0
-rw-r--r--tests/arch/ecp5/memory.ys (renamed from tests/ecp5/memory.ys)2
-rw-r--r--tests/arch/ecp5/mul.ys (renamed from tests/ecp5/mul.ys)2
-rw-r--r--tests/arch/ecp5/mux.ys (renamed from tests/ecp5/mux.ys)2
-rw-r--r--tests/arch/ecp5/rom.v (renamed from tests/ecp5/rom.v)0
-rw-r--r--tests/arch/ecp5/rom.ys (renamed from tests/ecp5/rom.ys)0
-rwxr-xr-xtests/arch/ecp5/run-test.sh (renamed from tests/ecp5/run-test.sh)2
-rw-r--r--tests/arch/ecp5/shifter.ys (renamed from tests/ecp5/shifter.ys)2
-rw-r--r--tests/arch/ecp5/tribuf.ys (renamed from tests/ecp5/tribuf.ys)2
-rw-r--r--tests/arch/efinix/.gitignore (renamed from tests/efinix/.gitignore)0
-rw-r--r--tests/arch/efinix/add_sub.ys (renamed from tests/efinix/add_sub.ys)2
-rw-r--r--tests/arch/efinix/adffs.ys (renamed from tests/efinix/adffs.ys)2
-rw-r--r--tests/arch/efinix/counter.ys (renamed from tests/efinix/counter.ys)2
-rw-r--r--tests/arch/efinix/dffs.ys (renamed from tests/efinix/dffs.ys)2
-rw-r--r--tests/arch/efinix/fsm.ys (renamed from tests/efinix/fsm.ys)2
-rw-r--r--tests/arch/efinix/latches.ys (renamed from tests/efinix/latches.ys)2
-rw-r--r--tests/arch/efinix/logic.ys (renamed from tests/efinix/logic.ys)2
-rw-r--r--tests/arch/efinix/memory.ys (renamed from tests/efinix/memory.ys)2
-rw-r--r--tests/arch/efinix/mux.ys (renamed from tests/efinix/mux.ys)2
-rwxr-xr-xtests/arch/efinix/run-test.sh (renamed from tests/efinix/run-test.sh)2
-rw-r--r--tests/arch/efinix/shifter.ys (renamed from tests/efinix/shifter.ys)2
-rw-r--r--tests/arch/efinix/tribuf.ys (renamed from tests/efinix/tribuf.ys)2
-rw-r--r--tests/arch/ice40/.gitignore (renamed from tests/ice40/.gitignore)0
-rw-r--r--tests/arch/ice40/add_sub.ys (renamed from tests/ice40/add_sub.ys)2
-rw-r--r--tests/arch/ice40/adffs.ys39
-rw-r--r--tests/arch/ice40/counter.ys (renamed from tests/ice40/counter.ys)2
-rw-r--r--tests/arch/ice40/dffs.ys19
-rw-r--r--tests/arch/ice40/dpram.v (renamed from tests/ice40/dpram.v)0
-rw-r--r--tests/arch/ice40/dpram.ys (renamed from tests/ice40/dpram.ys)0
-rw-r--r--tests/arch/ice40/fsm.ys (renamed from tests/ice40/fsm.ys)6
-rw-r--r--tests/arch/ice40/ice40_opt.ys (renamed from tests/ice40/ice40_opt.ys)0
-rw-r--r--tests/arch/ice40/latches.ys33
-rw-r--r--tests/arch/ice40/logic.ys (renamed from tests/ice40/logic.ys)2
-rw-r--r--tests/arch/ice40/macc.v (renamed from tests/ice40/macc.v)0
-rw-r--r--tests/arch/ice40/macc.ys (renamed from tests/ice40/macc.ys)0
-rw-r--r--tests/arch/ice40/memory.ys (renamed from tests/ice40/memory.ys)2
-rw-r--r--tests/arch/ice40/mul.ys (renamed from tests/ice40/mul.ys)2
-rw-r--r--tests/arch/ice40/mux.ys40
-rw-r--r--tests/arch/ice40/rom.v (renamed from tests/ice40/rom.v)0
-rw-r--r--tests/arch/ice40/rom.ys (renamed from tests/ice40/rom.ys)0
-rwxr-xr-xtests/arch/ice40/run-test.sh (renamed from tests/ice40/run-test.sh)2
-rw-r--r--tests/arch/ice40/shifter.ys (renamed from tests/ice40/shifter.ys)2
-rw-r--r--tests/arch/ice40/tribuf.ys (renamed from tests/ice40/tribuf.ys)8
-rw-r--r--tests/arch/ice40/wrapcarry.ys (renamed from tests/ice40/wrapcarry.ys)0
-rw-r--r--tests/arch/xilinx/.gitignore (renamed from tests/xilinx/.gitignore)0
-rw-r--r--tests/arch/xilinx/add_sub.ys (renamed from tests/xilinx/add_sub.ys)2
-rw-r--r--tests/arch/xilinx/adffs.ys (renamed from tests/xilinx/adffs.ys)2
-rw-r--r--tests/arch/xilinx/counter.ys (renamed from tests/xilinx/counter.ys)2
-rw-r--r--tests/arch/xilinx/dffs.ys (renamed from tests/xilinx/dffs.ys)2
-rw-r--r--tests/arch/xilinx/dsp_simd.ys (renamed from tests/xilinx/dsp_simd.ys)0
-rw-r--r--tests/arch/xilinx/fsm.ys (renamed from tests/xilinx/fsm.ys)2
-rw-r--r--tests/arch/xilinx/latches.ys (renamed from tests/xilinx/latches.ys)2
-rw-r--r--tests/arch/xilinx/logic.ys (renamed from tests/xilinx/logic.ys)2
-rw-r--r--tests/arch/xilinx/macc.sh3
-rw-r--r--tests/arch/xilinx/macc.v (renamed from tests/xilinx/macc.v)0
-rw-r--r--tests/arch/xilinx/macc.ys (renamed from tests/xilinx/macc.ys)0
-rw-r--r--tests/arch/xilinx/macc_tb.v (renamed from tests/xilinx/macc_tb.v)0
-rw-r--r--tests/arch/xilinx/memory.ys (renamed from tests/xilinx/memory.ys)2
-rw-r--r--tests/arch/xilinx/mul.ys (renamed from tests/xilinx/mul.ys)2
-rw-r--r--tests/arch/xilinx/mul_unsigned.v (renamed from tests/xilinx/mul_unsigned.v)0
-rw-r--r--tests/arch/xilinx/mul_unsigned.ys (renamed from tests/xilinx/mul_unsigned.ys)0
-rw-r--r--tests/arch/xilinx/mux.ys (renamed from tests/xilinx/mux.ys)2
-rw-r--r--tests/arch/xilinx/pmgen_xilinx_srl.ys (renamed from tests/xilinx/pmgen_xilinx_srl.ys)0
-rwxr-xr-xtests/arch/xilinx/run-test.sh20
-rw-r--r--tests/arch/xilinx/shifter.ys (renamed from tests/xilinx/shifter.ys)2
-rw-r--r--tests/arch/xilinx/tribuf.ys (renamed from tests/xilinx/tribuf.ys)2
-rw-r--r--tests/arch/xilinx/xilinx_srl.v (renamed from tests/xilinx/xilinx_srl.v)0
-rw-r--r--tests/arch/xilinx/xilinx_srl.ys (renamed from tests/xilinx/xilinx_srl.ys)0
-rw-r--r--tests/ecp5/add_sub.v13
-rw-r--r--tests/ecp5/adffs.v47
-rw-r--r--tests/ecp5/counter.v17
-rw-r--r--tests/ecp5/dffs.v15
-rw-r--r--tests/ecp5/fsm.v55
-rw-r--r--tests/ecp5/latches.v24
-rw-r--r--tests/ecp5/logic.v18
-rw-r--r--tests/ecp5/memory.v21
-rw-r--r--tests/ecp5/mul.v11
-rw-r--r--tests/ecp5/mux.v66
-rw-r--r--tests/ecp5/shifter.v16
-rw-r--r--tests/ecp5/tribuf.v8
-rw-r--r--tests/efinix/add_sub.v13
-rw-r--r--tests/efinix/adffs.v47
-rw-r--r--tests/efinix/counter.v17
-rw-r--r--tests/efinix/dffs.v15
-rw-r--r--tests/efinix/fsm.v55
-rw-r--r--tests/efinix/latches.v24
-rw-r--r--tests/efinix/logic.v18
-rw-r--r--tests/efinix/memory.v21
-rw-r--r--tests/efinix/mux.v65
-rw-r--r--tests/efinix/shifter.v16
-rw-r--r--tests/ice40/add_sub.v13
-rw-r--r--tests/ice40/adffs.v87
-rw-r--r--tests/ice40/adffs.ys11
-rw-r--r--tests/ice40/alu.v19
-rw-r--r--tests/ice40/alu.ys11
-rw-r--r--tests/ice40/counter.v17
-rw-r--r--tests/ice40/dffs.v37
-rw-r--r--tests/ice40/dffs.ys10
-rw-r--r--tests/ice40/div_mod.v13
-rw-r--r--tests/ice40/div_mod.ys9
-rw-r--r--tests/ice40/fsm.v73
-rw-r--r--tests/ice40/latches.v58
-rw-r--r--tests/ice40/latches.ys12
-rw-r--r--tests/ice40/logic.v18
-rw-r--r--tests/ice40/memory.v21
-rw-r--r--tests/ice40/mul.v11
-rw-r--r--tests/ice40/mux.v100
-rw-r--r--tests/ice40/mux.ys8
-rw-r--r--tests/ice40/shifter.v22
-rw-r--r--tests/ice40/tribuf.v23
-rw-r--r--tests/xilinx/add_sub.v13
-rw-r--r--tests/xilinx/adffs.v47
-rw-r--r--tests/xilinx/counter.v17
-rw-r--r--tests/xilinx/dffs.v15
-rw-r--r--tests/xilinx/fsm.v55
-rw-r--r--tests/xilinx/latches.v24
-rw-r--r--tests/xilinx/logic.v18
-rw-r--r--tests/xilinx/macc.sh3
-rw-r--r--tests/xilinx/memory.v21
-rw-r--r--tests/xilinx/mul.v11
-rw-r--r--tests/xilinx/mux.v65
-rwxr-xr-xtests/xilinx/run-test.sh20
-rw-r--r--tests/xilinx/shifter.v16
-rw-r--r--tests/xilinx/tribuf.v8
166 files changed, 455 insertions, 1763 deletions
diff --git a/Makefile b/Makefile
index 70d683c34..a24f19b6a 100644
--- a/Makefile
+++ b/Makefile
@@ -713,12 +713,12 @@ test: $(TARGETS) $(EXTRA_TARGETS)
+cd tests/opt && bash run-test.sh
+cd tests/aiger && bash run-test.sh $(ABCOPT)
+cd tests/arch && bash run-test.sh
- +cd tests/ice40 && bash run-test.sh $(SEEDOPT)
+ +cd tests/arch/ice40 && bash run-test.sh $(SEEDOPT)
+ +cd tests/arch/xilinx && bash run-test.sh $(SEEDOPT)
+ +cd tests/arch/ecp5 && bash run-test.sh $(SEEDOPT)
+ +cd tests/arch/efinix && bash run-test.sh $(SEEDOPT)
+ +cd tests/arch/anlogic && bash run-test.sh $(SEEDOPT)
+cd tests/rpc && bash run-test.sh
- +cd tests/efinix && bash run-test.sh $(SEEDOPT)
- +cd tests/anlogic && bash run-test.sh $(SEEDOPT)
- +cd tests/ecp5 && bash run-test.sh $(SEEDOPT)
- +cd tests/xilinx && bash run-test.sh $(SEEDOPT)
@echo ""
@echo " Passed \"make test\"."
@echo ""
diff --git a/tests/anlogic/add_sub.v b/tests/anlogic/add_sub.v
deleted file mode 100644
index 177c32e30..000000000
--- a/tests/anlogic/add_sub.v
+++ /dev/null
@@ -1,13 +0,0 @@
-module top
-(
- input [3:0] x,
- input [3:0] y,
-
- output [3:0] A,
- output [3:0] B
- );
-
-assign A = x + y;
-assign B = x - y;
-
-endmodule
diff --git a/tests/anlogic/counter.v b/tests/anlogic/counter.v
deleted file mode 100644
index 52852f8ac..000000000
--- a/tests/anlogic/counter.v
+++ /dev/null
@@ -1,17 +0,0 @@
-module top (
-out,
-clk,
-reset
-);
- output [7:0] out;
- input clk, reset;
- reg [7:0] out;
-
- always @(posedge clk, posedge reset)
- if (reset) begin
- out <= 8'b0 ;
- end else
- out <= out + 1;
-
-
-endmodule
diff --git a/tests/anlogic/dffs.v b/tests/anlogic/dffs.v
deleted file mode 100644
index 3418787c9..000000000
--- a/tests/anlogic/dffs.v
+++ /dev/null
@@ -1,15 +0,0 @@
-module dff
- ( input d, clk, output reg q );
- always @( posedge clk )
- q <= d;
-endmodule
-
-module dffe
- ( input d, clk, en, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( en )
- q <= d;
-endmodule
diff --git a/tests/anlogic/fsm.v b/tests/anlogic/fsm.v
deleted file mode 100644
index 368fbaace..000000000
--- a/tests/anlogic/fsm.v
+++ /dev/null
@@ -1,55 +0,0 @@
- module fsm (
- clock,
- reset,
- req_0,
- req_1,
- gnt_0,
- gnt_1
- );
- input clock,reset,req_0,req_1;
- output gnt_0,gnt_1;
- wire clock,reset,req_0,req_1;
- reg gnt_0,gnt_1;
-
- parameter SIZE = 3 ;
- parameter IDLE = 3'b001,GNT0 = 3'b010,GNT1 = 3'b100,GNT2 = 3'b101 ;
-
- reg [SIZE-1:0] state;
- reg [SIZE-1:0] next_state;
-
- always @ (posedge clock)
- begin : FSM
- if (reset == 1'b1) begin
- state <= #1 IDLE;
- gnt_0 <= 0;
- gnt_1 <= 0;
- end else
- case(state)
- IDLE : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- gnt_0 <= 1;
- end else if (req_1 == 1'b1) begin
- gnt_1 <= 1;
- state <= #1 GNT0;
- end else begin
- state <= #1 IDLE;
- end
- GNT0 : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- end else begin
- gnt_0 <= 0;
- state <= #1 IDLE;
- end
- GNT1 : if (req_1 == 1'b1) begin
- state <= #1 GNT2;
- gnt_1 <= req_0;
- end
- GNT2 : if (req_0 == 1'b1) begin
- state <= #1 GNT1;
- gnt_1 <= req_1;
- end
- default : state <= #1 IDLE;
- endcase
- end
-
-endmodule
diff --git a/tests/anlogic/mux.v b/tests/anlogic/mux.v
deleted file mode 100644
index 27bc0bf0b..000000000
--- a/tests/anlogic/mux.v
+++ /dev/null
@@ -1,65 +0,0 @@
-module mux2 (S,A,B,Y);
- input S;
- input A,B;
- output reg Y;
-
- always @(*)
- Y = (S)? B : A;
-endmodule
-
-module mux4 ( S, D, Y );
-
-input[1:0] S;
-input[3:0] D;
-output Y;
-
-reg Y;
-wire[1:0] S;
-wire[3:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- endcase
-end
-
-endmodule
-
-module mux8 ( S, D, Y );
-
-input[2:0] S;
-input[7:0] D;
-output Y;
-
-reg Y;
-wire[2:0] S;
-wire[7:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- 4 : Y = D[4];
- 5 : Y = D[5];
- 6 : Y = D[6];
- 7 : Y = D[7];
- endcase
-end
-
-endmodule
-
-module mux16 (D, S, Y);
- input [15:0] D;
- input [3:0] S;
- output Y;
-
-assign Y = D[S];
-
-endmodule
diff --git a/tests/anlogic/tribuf.v b/tests/anlogic/tribuf.v
deleted file mode 100644
index 90dd314e4..000000000
--- a/tests/anlogic/tribuf.v
+++ /dev/null
@@ -1,8 +0,0 @@
-module tristate (en, i, o);
- input en;
- input i;
- output o;
-
- assign o = en ? i : 1'bz;
-
-endmodule
diff --git a/tests/anlogic/.gitignore b/tests/arch/anlogic/.gitignore
index 9a71dca69..9a71dca69 100644
--- a/tests/anlogic/.gitignore
+++ b/tests/arch/anlogic/.gitignore
diff --git a/tests/anlogic/add_sub.ys b/tests/arch/anlogic/add_sub.ys
index b8b67cc46..5396ce7ec 100644
--- a/tests/anlogic/add_sub.ys
+++ b/tests/arch/anlogic/add_sub.ys
@@ -1,4 +1,4 @@
-read_verilog add_sub.v
+read_verilog ../common/add_sub.v
hierarchy -top top
proc
equiv_opt -assert -map +/anlogic/cells_sim.v synth_anlogic # equivalency check
diff --git a/tests/anlogic/counter.ys b/tests/arch/anlogic/counter.ys
index 036fdba46..d363ec24e 100644
--- a/tests/anlogic/counter.ys
+++ b/tests/arch/anlogic/counter.ys
@@ -1,4 +1,4 @@
-read_verilog counter.v
+read_verilog ../common/counter.v
hierarchy -top top
proc
flatten
diff --git a/tests/anlogic/dffs.ys b/tests/arch/anlogic/dffs.ys
index 9cbe5fce7..d3281ab89 100644
--- a/tests/anlogic/dffs.ys
+++ b/tests/arch/anlogic/dffs.ys
@@ -1,4 +1,4 @@
-read_verilog dffs.v
+read_verilog ../common/dffs.v
design -save read
hierarchy -top dff
diff --git a/tests/anlogic/fsm.ys b/tests/arch/anlogic/fsm.ys
index 452ef9251..f45951b13 100644
--- a/tests/anlogic/fsm.ys
+++ b/tests/arch/anlogic/fsm.ys
@@ -1,4 +1,4 @@
-read_verilog fsm.v
+read_verilog ../common/fsm.v
hierarchy -top fsm
proc
#flatten
diff --git a/tests/anlogic/latches.ys b/tests/arch/anlogic/latches.ys
index c00c7a25d..8d66f77b3 100644
--- a/tests/anlogic/latches.ys
+++ b/tests/arch/anlogic/latches.ys
@@ -1,4 +1,4 @@
-read_verilog latches.v
+read_verilog ../common/latches.v
design -save read
hierarchy -top latchp
diff --git a/tests/arch/anlogic/logic.ys b/tests/arch/anlogic/logic.ys
new file mode 100644
index 000000000..125ee5d0f
--- /dev/null
+++ b/tests/arch/anlogic/logic.ys
@@ -0,0 +1,11 @@
+read_verilog ../common/logic.v
+hierarchy -top top
+proc
+equiv_opt -assert -map +/anlogic/cells_sim.v synth_anlogic # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd top # Constrain all select calls below inside the top module
+
+select -assert-count 1 t:AL_MAP_LUT1
+select -assert-count 6 t:AL_MAP_LUT2
+select -assert-count 2 t:AL_MAP_LUT4
+select -assert-none t:AL_MAP_LUT1 t:AL_MAP_LUT2 t:AL_MAP_LUT4 %% t:* %D
diff --git a/tests/anlogic/memory.ys b/tests/arch/anlogic/memory.ys
index 8c0ce844e..87b93c2fe 100644
--- a/tests/anlogic/memory.ys
+++ b/tests/arch/anlogic/memory.ys
@@ -1,4 +1,4 @@
-read_verilog memory.v
+read_verilog ../common/memory.v
hierarchy -top top
proc
memory -nomap
diff --git a/tests/anlogic/mux.ys b/tests/arch/anlogic/mux.ys
index 64ed2a2bd..3d5fe7c9a 100644
--- a/tests/anlogic/mux.ys
+++ b/tests/arch/anlogic/mux.ys
@@ -1,4 +1,4 @@
-read_verilog mux.v
+read_verilog ../common/mux.v
design -save read
hierarchy -top mux2
diff --git a/tests/anlogic/run-test.sh b/tests/arch/anlogic/run-test.sh
index 46716f9a0..bf19b887d 100755
--- a/tests/anlogic/run-test.sh
+++ b/tests/arch/anlogic/run-test.sh
@@ -6,7 +6,7 @@ for x in *.ys; do
echo "all:: run-$x"
echo "run-$x:"
echo " @echo 'Running $x..'"
- echo " @../../yosys -ql ${x%.ys}.log -w 'Yosys has only limited support for tri-state logic at the moment.' $x"
+ echo " @../../../yosys -ql ${x%.ys}.log -w 'Yosys has only limited support for tri-state logic at the moment.' $x"
done
for s in *.sh; do
if [ "$s" != "run-test.sh" ]; then
diff --git a/tests/anlogic/shifter.ys b/tests/arch/anlogic/shifter.ys
index 5eaed30a3..12df44b2a 100644
--- a/tests/anlogic/shifter.ys
+++ b/tests/arch/anlogic/shifter.ys
@@ -1,4 +1,4 @@
-read_verilog shifter.v
+read_verilog ../common/shifter.v
hierarchy -top top
proc
flatten
diff --git a/tests/anlogic/tribuf.ys b/tests/arch/anlogic/tribuf.ys
index 0eb1338ac..eaa073750 100644
--- a/tests/anlogic/tribuf.ys
+++ b/tests/arch/anlogic/tribuf.ys
@@ -1,4 +1,4 @@
-read_verilog tribuf.v
+read_verilog ../common/tribuf.v
hierarchy -top tristate
proc
flatten
diff --git a/tests/arch/common/add_sub.v b/tests/arch/common/add_sub.v
new file mode 100644
index 000000000..77e5f5745
--- /dev/null
+++ b/tests/arch/common/add_sub.v
@@ -0,0 +1,12 @@
+module top
+(
+ input [3:0] x,
+ input [3:0] y,
+
+ output [3:0] A,
+ output [3:0] B
+);
+
+ assign A = x + y;
+ assign B = x - y;
+endmodule
diff --git a/tests/arch/common/adffs.v b/tests/arch/common/adffs.v
new file mode 100644
index 000000000..576bd81a6
--- /dev/null
+++ b/tests/arch/common/adffs.v
@@ -0,0 +1,43 @@
+module adff( input d, clk, clr, output reg q );
+ initial begin
+ q = 0;
+ end
+ always @( posedge clk, posedge clr )
+ if ( clr )
+ q <= 1'b0;
+ else
+ q <= d;
+endmodule
+
+module adffn( input d, clk, clr, output reg q );
+ initial begin
+ q = 0;
+ end
+ always @( posedge clk, negedge clr )
+ if ( !clr )
+ q <= 1'b0;
+ else
+ q <= d;
+endmodule
+
+module dffs( input d, clk, pre, clr, output reg q );
+ initial begin
+ q = 0;
+ end
+ always @( posedge clk )
+ if ( pre )
+ q <= 1'b1;
+ else
+ q <= d;
+endmodule
+
+module ndffnr( input d, clk, pre, clr, output reg q );
+ initial begin
+ q = 0;
+ end
+ always @( negedge clk )
+ if ( !clr )
+ q <= 1'b0;
+ else
+ q <= d;
+endmodule
diff --git a/tests/arch/common/counter.v b/tests/arch/common/counter.v
new file mode 100644
index 000000000..9746fd701
--- /dev/null
+++ b/tests/arch/common/counter.v
@@ -0,0 +1,11 @@
+module top ( out, clk, reset );
+ output [7:0] out;
+ input clk, reset;
+ reg [7:0] out;
+
+ always @(posedge clk, posedge reset)
+ if (reset)
+ out <= 8'b0;
+ else
+ out <= out + 1;
+endmodule
diff --git a/tests/arch/common/dffs.v b/tests/arch/common/dffs.v
new file mode 100644
index 000000000..636252d16
--- /dev/null
+++ b/tests/arch/common/dffs.v
@@ -0,0 +1,13 @@
+module dff ( input d, clk, output reg q );
+ always @( posedge clk )
+ q <= d;
+endmodule
+
+module dffe( input d, clk, en, output reg q );
+ initial begin
+ q = 0;
+ end
+ always @( posedge clk )
+ if ( en )
+ q <= d;
+endmodule
diff --git a/tests/arch/common/fsm.v b/tests/arch/common/fsm.v
new file mode 100644
index 000000000..9d3fbb64a
--- /dev/null
+++ b/tests/arch/common/fsm.v
@@ -0,0 +1,51 @@
+ module fsm ( clock, reset, req_0, req_1, gnt_0, gnt_1 );
+ input clock,reset,req_0,req_1;
+ output gnt_0,gnt_1;
+ wire clock,reset,req_0,req_1;
+ reg gnt_0,gnt_1;
+
+ parameter SIZE = 3;
+ parameter IDLE = 3'b001;
+ parameter GNT0 = 3'b010;
+ parameter GNT1 = 3'b100;
+ parameter GNT2 = 3'b101;
+
+ reg [SIZE-1:0] state;
+ reg [SIZE-1:0] next_state;
+
+ always @ (posedge clock)
+ begin : FSM
+ if (reset == 1'b1) begin
+ state <= #1 IDLE;
+ gnt_0 <= 0;
+ gnt_1 <= 0;
+ end
+ else
+ case(state)
+ IDLE : if (req_0 == 1'b1) begin
+ state <= #1 GNT0;
+ gnt_0 <= 1;
+ end else if (req_1 == 1'b1) begin
+ gnt_1 <= 1;
+ state <= #1 GNT0;
+ end else begin
+ state <= #1 IDLE;
+ end
+ GNT0 : if (req_0 == 1'b1) begin
+ state <= #1 GNT0;
+ end else begin
+ gnt_0 <= 0;
+ state <= #1 IDLE;
+ end
+ GNT1 : if (req_1 == 1'b1) begin
+ state <= #1 GNT2;
+ gnt_1 <= req_0;
+ end
+ GNT2 : if (req_0 == 1'b1) begin
+ state <= #1 GNT1;
+ gnt_1 <= req_1;
+ end
+ default : state <= #1 IDLE;
+ endcase
+ end
+endmodule
diff --git a/tests/anlogic/latches.v b/tests/arch/common/latches.v
index adb5d5319..60b757103 100644
--- a/tests/anlogic/latches.v
+++ b/tests/arch/common/latches.v
@@ -1,19 +1,16 @@
-module latchp
- ( input d, clk, en, output reg q );
+module latchp ( input d, clk, en, output reg q );
always @*
if ( en )
q <= d;
endmodule
-module latchn
- ( input d, clk, en, output reg q );
+module latchn ( input d, clk, en, output reg q );
always @*
if ( !en )
q <= d;
endmodule
-module latchsr
- ( input d, clk, en, clr, pre, output reg q );
+module latchsr ( input d, clk, en, clr, pre, output reg q );
always @*
if ( clr )
q <= 1'b0;
diff --git a/tests/arch/common/logic.v b/tests/arch/common/logic.v
new file mode 100644
index 000000000..c17899fa0
--- /dev/null
+++ b/tests/arch/common/logic.v
@@ -0,0 +1,16 @@
+module top
+(
+ input [0:7] in,
+ output B1,B2,B3,B4,B5,B6,B7,B8,B9,B10
+);
+ assign B1 = in[0] & in[1];
+ assign B2 = in[0] | in[1];
+ assign B3 = in[0] ~& in[1];
+ assign B4 = in[0] ~| in[1];
+ assign B5 = in[0] ^ in[1];
+ assign B6 = in[0] ~^ in[1];
+ assign B7 = ~in[0];
+ assign B8 = in[0];
+ assign B9 = in[0:1] && in [2:3];
+ assign B10 = in[0:1] || in [2:3];
+endmodule
diff --git a/tests/anlogic/memory.v b/tests/arch/common/memory.v
index cb7753f7b..cb7753f7b 100644
--- a/tests/anlogic/memory.v
+++ b/tests/arch/common/memory.v
diff --git a/tests/arch/common/mul.v b/tests/arch/common/mul.v
new file mode 100644
index 000000000..437a91cfc
--- /dev/null
+++ b/tests/arch/common/mul.v
@@ -0,0 +1,9 @@
+module top
+(
+ input [5:0] x,
+ input [5:0] y,
+
+ output [11:0] A,
+);
+ assign A = x * y;
+endmodule
diff --git a/tests/arch/common/mux.v b/tests/arch/common/mux.v
new file mode 100644
index 000000000..71c1ac7f2
--- /dev/null
+++ b/tests/arch/common/mux.v
@@ -0,0 +1,60 @@
+module mux2 (S,A,B,Y);
+ input S;
+ input A,B;
+ output reg Y;
+
+ always @(*)
+ Y = (S)? B : A;
+endmodule
+
+module mux4 ( S, D, Y );
+ input[1:0] S;
+ input[3:0] D;
+ output Y;
+
+ reg Y;
+ wire[1:0] S;
+ wire[3:0] D;
+
+ always @*
+ begin
+ case( S )
+ 0 : Y = D[0];
+ 1 : Y = D[1];
+ 2 : Y = D[2];
+ 3 : Y = D[3];
+ endcase
+ end
+endmodule
+
+module mux8 ( S, D, Y );
+ input[2:0] S;
+ input[7:0] D;
+ output Y;
+
+ reg Y;
+ wire[2:0] S;
+ wire[7:0] D;
+
+ always @*
+ begin
+ case( S )
+ 0 : Y = D[0];
+ 1 : Y = D[1];
+ 2 : Y = D[2];
+ 3 : Y = D[3];
+ 4 : Y = D[4];
+ 5 : Y = D[5];
+ 6 : Y = D[6];
+ 7 : Y = D[7];
+ endcase
+ end
+endmodule
+
+module mux16 (D, S, Y);
+ input [15:0] D;
+ input [3:0] S;
+ output Y;
+
+ assign Y = D[S];
+endmodule
diff --git a/tests/anlogic/shifter.v b/tests/arch/common/shifter.v
index 04ae49d83..cace3b588 100644
--- a/tests/anlogic/shifter.v
+++ b/tests/arch/common/shifter.v
@@ -1,8 +1,4 @@
-module top (
-out,
-clk,
-in
-);
+module top(out, clk, in);
output [7:0] out;
input signed clk, in;
reg signed [7:0] out = 0;
@@ -11,6 +7,5 @@ in
begin
out <= out >> 1;
out[7] <= in;
- end
-
+ end
endmodule
diff --git a/tests/efinix/tribuf.v b/tests/arch/common/tribuf.v
index c64468253..e1d701611 100644
--- a/tests/efinix/tribuf.v
+++ b/tests/arch/common/tribuf.v
@@ -1,8 +1,8 @@
-module tristate (en, i, o);
+module tristate(en, i, o);
input en;
input i;
output reg o;
-
+
always @(en or i)
- o <= (en)? i : 1'bZ;
+ o <= (en)? i : 1'bZ;
endmodule
diff --git a/tests/ecp5/.gitignore b/tests/arch/ecp5/.gitignore
index 1d329c933..1d329c933 100644
--- a/tests/ecp5/.gitignore
+++ b/tests/arch/ecp5/.gitignore
diff --git a/tests/ecp5/add_sub.ys b/tests/arch/ecp5/add_sub.ys
index ee72d732f..d85ce792e 100644
--- a/tests/ecp5/add_sub.ys
+++ b/tests/arch/ecp5/add_sub.ys
@@ -1,4 +1,4 @@
-read_verilog add_sub.v
+read_verilog ../common/add_sub.v
hierarchy -top top
proc
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
diff --git a/tests/ecp5/adffs.ys b/tests/arch/ecp5/adffs.ys
index c6780e565..01605df70 100644
--- a/tests/ecp5/adffs.ys
+++ b/tests/arch/ecp5/adffs.ys
@@ -1,4 +1,4 @@
-read_verilog adffs.v
+read_verilog ../common/adffs.v
design -save read
hierarchy -top adff
diff --git a/tests/ecp5/counter.ys b/tests/arch/ecp5/counter.ys
index 8ef70778f..f9f60fbff 100644
--- a/tests/ecp5/counter.ys
+++ b/tests/arch/ecp5/counter.ys
@@ -1,4 +1,4 @@
-read_verilog counter.v
+read_verilog ../common/counter.v
hierarchy -top top
proc
flatten
diff --git a/tests/ecp5/dffs.ys b/tests/arch/ecp5/dffs.ys
index a4f45d2fb..be97972db 100644
--- a/tests/ecp5/dffs.ys
+++ b/tests/arch/ecp5/dffs.ys
@@ -1,4 +1,4 @@
-read_verilog dffs.v
+read_verilog ../common/dffs.v
design -save read
hierarchy -top dff
diff --git a/tests/ecp5/dpram.v b/tests/arch/ecp5/dpram.v
index 3ea4c1f27..3ea4c1f27 100644
--- a/tests/ecp5/dpram.v
+++ b/tests/arch/ecp5/dpram.v
diff --git a/tests/ecp5/dpram.ys b/tests/arch/ecp5/dpram.ys
index 3bc6bc1d0..3bc6bc1d0 100644
--- a/tests/ecp5/dpram.ys
+++ b/tests/arch/ecp5/dpram.ys
diff --git a/tests/ecp5/fsm.ys b/tests/arch/ecp5/fsm.ys
index ded91e5f7..f834a4c6b 100644
--- a/tests/ecp5/fsm.ys
+++ b/tests/arch/ecp5/fsm.ys
@@ -1,4 +1,4 @@
-read_verilog fsm.v
+read_verilog ../common/fsm.v
hierarchy -top fsm
proc
flatten
diff --git a/tests/ecp5/latches.ys b/tests/arch/ecp5/latches.ys
index fc15a6910..3d011d74f 100644
--- a/tests/ecp5/latches.ys
+++ b/tests/arch/ecp5/latches.ys
@@ -1,5 +1,4 @@
-
-read_verilog latches.v
+read_verilog ../common/latches.v
design -save read
hierarchy -top latchp
diff --git a/tests/ecp5/logic.ys b/tests/arch/ecp5/logic.ys
index 4f113a130..3298b198f 100644
--- a/tests/ecp5/logic.ys
+++ b/tests/arch/ecp5/logic.ys
@@ -1,4 +1,4 @@
-read_verilog logic.v
+read_verilog ../common/logic.v
hierarchy -top top
proc
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
diff --git a/tests/ecp5/macc.v b/tests/arch/ecp5/macc.v
index 63a3d3a74..63a3d3a74 100644
--- a/tests/ecp5/macc.v
+++ b/tests/arch/ecp5/macc.v
diff --git a/tests/ecp5/macc.ys b/tests/arch/ecp5/macc.ys
index 1863ea4d2..1863ea4d2 100644
--- a/tests/ecp5/macc.ys
+++ b/tests/arch/ecp5/macc.ys
diff --git a/tests/ecp5/memory.ys b/tests/arch/ecp5/memory.ys
index 9b475f122..c82b7b405 100644
--- a/tests/ecp5/memory.ys
+++ b/tests/arch/ecp5/memory.ys
@@ -1,4 +1,4 @@
-read_verilog memory.v
+read_verilog ../common/memory.v
hierarchy -top top
proc
memory -nomap
diff --git a/tests/ecp5/mul.ys b/tests/arch/ecp5/mul.ys
index 0a91f892e..2105be52c 100644
--- a/tests/ecp5/mul.ys
+++ b/tests/arch/ecp5/mul.ys
@@ -1,4 +1,4 @@
-read_verilog mul.v
+read_verilog ../common/mul.v
hierarchy -top top
proc
# Blocked by issue #1358 (Missing ECP5 simulation models)
diff --git a/tests/ecp5/mux.ys b/tests/arch/ecp5/mux.ys
index 8cfbd541b..92463aa32 100644
--- a/tests/ecp5/mux.ys
+++ b/tests/arch/ecp5/mux.ys
@@ -1,4 +1,4 @@
-read_verilog mux.v
+read_verilog ../common/mux.v
design -save read
hierarchy -top mux2
diff --git a/tests/ecp5/rom.v b/tests/arch/ecp5/rom.v
index 0a0f41f37..0a0f41f37 100644
--- a/tests/ecp5/rom.v
+++ b/tests/arch/ecp5/rom.v
diff --git a/tests/ecp5/rom.ys b/tests/arch/ecp5/rom.ys
index 98645ae43..98645ae43 100644
--- a/tests/ecp5/rom.ys
+++ b/tests/arch/ecp5/rom.ys
diff --git a/tests/ecp5/run-test.sh b/tests/arch/ecp5/run-test.sh
index 46716f9a0..bf19b887d 100755
--- a/tests/ecp5/run-test.sh
+++ b/tests/arch/ecp5/run-test.sh
@@ -6,7 +6,7 @@ for x in *.ys; do
echo "all:: run-$x"
echo "run-$x:"
echo " @echo 'Running $x..'"
- echo " @../../yosys -ql ${x%.ys}.log -w 'Yosys has only limited support for tri-state logic at the moment.' $x"
+ echo " @../../../yosys -ql ${x%.ys}.log -w 'Yosys has only limited support for tri-state logic at the moment.' $x"
done
for s in *.sh; do
if [ "$s" != "run-test.sh" ]; then
diff --git a/tests/ecp5/shifter.ys b/tests/arch/ecp5/shifter.ys
index e1901e1a8..3f0079f4a 100644
--- a/tests/ecp5/shifter.ys
+++ b/tests/arch/ecp5/shifter.ys
@@ -1,4 +1,4 @@
-read_verilog shifter.v
+read_verilog ../common/shifter.v
hierarchy -top top
proc
flatten
diff --git a/tests/ecp5/tribuf.ys b/tests/arch/ecp5/tribuf.ys
index a6e9c9598..0118705a2 100644
--- a/tests/ecp5/tribuf.ys
+++ b/tests/arch/ecp5/tribuf.ys
@@ -1,4 +1,4 @@
-read_verilog tribuf.v
+read_verilog ../common/tribuf.v
hierarchy -top tristate
proc
flatten
diff --git a/tests/efinix/.gitignore b/tests/arch/efinix/.gitignore
index b48f808a1..b48f808a1 100644
--- a/tests/efinix/.gitignore
+++ b/tests/arch/efinix/.gitignore
diff --git a/tests/efinix/add_sub.ys b/tests/arch/efinix/add_sub.ys
index 8bd28c68e..20523c059 100644
--- a/tests/efinix/add_sub.ys
+++ b/tests/arch/efinix/add_sub.ys
@@ -1,4 +1,4 @@
-read_verilog add_sub.v
+read_verilog ../common/add_sub.v
hierarchy -top top
proc
equiv_opt -assert -map +/efinix/cells_sim.v synth_efinix # equivalency check
diff --git a/tests/efinix/adffs.ys b/tests/arch/efinix/adffs.ys
index 1069c6c5c..49dc7f256 100644
--- a/tests/efinix/adffs.ys
+++ b/tests/arch/efinix/adffs.ys
@@ -1,4 +1,4 @@
-read_verilog adffs.v
+read_verilog ../common/adffs.v
design -save read
hierarchy -top adff
diff --git a/tests/efinix/counter.ys b/tests/arch/efinix/counter.ys
index 82e61d39b..d20b8ae27 100644
--- a/tests/efinix/counter.ys
+++ b/tests/arch/efinix/counter.ys
@@ -1,4 +1,4 @@
-read_verilog counter.v
+read_verilog ../common/counter.v
hierarchy -top top
proc
flatten
diff --git a/tests/efinix/dffs.ys b/tests/arch/efinix/dffs.ys
index cdd288233..af787ab67 100644
--- a/tests/efinix/dffs.ys
+++ b/tests/arch/efinix/dffs.ys
@@ -1,4 +1,4 @@
-read_verilog dffs.v
+read_verilog ../common/dffs.v
design -save read
hierarchy -top dff
diff --git a/tests/efinix/fsm.ys b/tests/arch/efinix/fsm.ys
index 2ec75215d..a8ba70fdb 100644
--- a/tests/efinix/fsm.ys
+++ b/tests/arch/efinix/fsm.ys
@@ -1,4 +1,4 @@
-read_verilog fsm.v
+read_verilog ../common/fsm.v
hierarchy -top fsm
proc
flatten
diff --git a/tests/efinix/latches.ys b/tests/arch/efinix/latches.ys
index 899d024ce..1b1c00023 100644
--- a/tests/efinix/latches.ys
+++ b/tests/arch/efinix/latches.ys
@@ -1,4 +1,4 @@
-read_verilog latches.v
+read_verilog ../common/latches.v
design -save read
hierarchy -top latchp
diff --git a/tests/efinix/logic.ys b/tests/arch/efinix/logic.ys
index fdedb337b..76e98e079 100644
--- a/tests/efinix/logic.ys
+++ b/tests/arch/efinix/logic.ys
@@ -1,4 +1,4 @@
-read_verilog logic.v
+read_verilog ../common/logic.v
hierarchy -top top
proc
equiv_opt -assert -map +/efinix/cells_sim.v synth_efinix # equivalency check
diff --git a/tests/efinix/memory.ys b/tests/arch/efinix/memory.ys
index fe24b0a9b..6f6acdcde 100644
--- a/tests/efinix/memory.ys
+++ b/tests/arch/efinix/memory.ys
@@ -1,4 +1,4 @@
-read_verilog memory.v
+read_verilog ../common/memory.v
hierarchy -top top
proc
memory -nomap
diff --git a/tests/efinix/mux.ys b/tests/arch/efinix/mux.ys
index 71a9681de..b46f641e1 100644
--- a/tests/efinix/mux.ys
+++ b/tests/arch/efinix/mux.ys
@@ -1,4 +1,4 @@
-read_verilog mux.v
+read_verilog ../common/mux.v
design -save read
hierarchy -top mux2
diff --git a/tests/efinix/run-test.sh b/tests/arch/efinix/run-test.sh
index 46716f9a0..bf19b887d 100755
--- a/tests/efinix/run-test.sh
+++ b/tests/arch/efinix/run-test.sh
@@ -6,7 +6,7 @@ for x in *.ys; do
echo "all:: run-$x"
echo "run-$x:"
echo " @echo 'Running $x..'"
- echo " @../../yosys -ql ${x%.ys}.log -w 'Yosys has only limited support for tri-state logic at the moment.' $x"
+ echo " @../../../yosys -ql ${x%.ys}.log -w 'Yosys has only limited support for tri-state logic at the moment.' $x"
done
for s in *.sh; do
if [ "$s" != "run-test.sh" ]; then
diff --git a/tests/efinix/shifter.ys b/tests/arch/efinix/shifter.ys
index 1a6b5565c..54f71167f 100644
--- a/tests/efinix/shifter.ys
+++ b/tests/arch/efinix/shifter.ys
@@ -1,4 +1,4 @@
-read_verilog shifter.v
+read_verilog ../common/shifter.v
hierarchy -top top
proc
flatten
diff --git a/tests/efinix/tribuf.ys b/tests/arch/efinix/tribuf.ys
index 2e2ab9e65..47904f2d5 100644
--- a/tests/efinix/tribuf.ys
+++ b/tests/arch/efinix/tribuf.ys
@@ -1,4 +1,4 @@
-read_verilog tribuf.v
+read_verilog ../common/tribuf.v
hierarchy -top tristate
proc
tribuf
diff --git a/tests/ice40/.gitignore b/tests/arch/ice40/.gitignore
index 9a71dca69..9a71dca69 100644
--- a/tests/ice40/.gitignore
+++ b/tests/arch/ice40/.gitignore
diff --git a/tests/ice40/add_sub.ys b/tests/arch/ice40/add_sub.ys
index 4a998d98d..578ec0803 100644
--- a/tests/ice40/add_sub.ys
+++ b/tests/arch/ice40/add_sub.ys
@@ -1,4 +1,4 @@
-read_verilog add_sub.v
+read_verilog ../common/add_sub.v
hierarchy -top top
equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
diff --git a/tests/arch/ice40/adffs.ys b/tests/arch/ice40/adffs.ys
new file mode 100644
index 000000000..e5dbabb43
--- /dev/null
+++ b/tests/arch/ice40/adffs.ys
@@ -0,0 +1,39 @@
+read_verilog ../common/adffs.v
+design -save read
+
+hierarchy -top adff
+proc
+equiv_opt -async2sync -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd adff # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_DFFR
+select -assert-none t:SB_DFFR %% t:* %D
+
+design -load read
+hierarchy -top adffn
+proc
+equiv_opt -async2sync -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd adffn # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_DFFR
+select -assert-count 1 t:SB_LUT4
+select -assert-none t:SB_DFFR t:SB_LUT4 %% t:* %D
+
+design -load read
+hierarchy -top dffs
+proc
+equiv_opt -async2sync -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd dffs # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_DFFSS
+select -assert-none t:SB_DFFSS %% t:* %D
+
+design -load read
+hierarchy -top ndffnr
+proc
+equiv_opt -async2sync -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd ndffnr # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_DFFNSR
+select -assert-count 1 t:SB_LUT4
+select -assert-none t:SB_DFFNSR t:SB_LUT4 %% t:* %D
diff --git a/tests/ice40/counter.ys b/tests/arch/ice40/counter.ys
index c65c21622..f112eb97d 100644
--- a/tests/ice40/counter.ys
+++ b/tests/arch/ice40/counter.ys
@@ -1,4 +1,4 @@
-read_verilog counter.v
+read_verilog ../common/counter.v
hierarchy -top top
proc
flatten
diff --git a/tests/arch/ice40/dffs.ys b/tests/arch/ice40/dffs.ys
new file mode 100644
index 000000000..b28a5a91f
--- /dev/null
+++ b/tests/arch/ice40/dffs.ys
@@ -0,0 +1,19 @@
+read_verilog ../common/dffs.v
+design -save read
+
+hierarchy -top dff
+proc
+equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd dff # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_DFF
+select -assert-none t:SB_DFF %% t:* %D
+
+design -load read
+hierarchy -top dffe
+proc
+equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd dffe # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_DFFE
+select -assert-none t:SB_DFFE %% t:* %D \ No newline at end of file
diff --git a/tests/ice40/dpram.v b/tests/arch/ice40/dpram.v
index 3ea4c1f27..3ea4c1f27 100644
--- a/tests/ice40/dpram.v
+++ b/tests/arch/ice40/dpram.v
diff --git a/tests/ice40/dpram.ys b/tests/arch/ice40/dpram.ys
index 4f6a253ea..4f6a253ea 100644
--- a/tests/ice40/dpram.ys
+++ b/tests/arch/ice40/dpram.ys
diff --git a/tests/ice40/fsm.ys b/tests/arch/ice40/fsm.ys
index 4cc8629d6..5aacc6c73 100644
--- a/tests/ice40/fsm.ys
+++ b/tests/arch/ice40/fsm.ys
@@ -1,10 +1,10 @@
-read_verilog fsm.v
-hierarchy -top top
+read_verilog ../common/fsm.v
+hierarchy -top fsm
proc
flatten
equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
+cd fsm # Constrain all select calls below inside the top module
select -assert-count 2 t:SB_DFFESR
select -assert-count 2 t:SB_DFFSR
diff --git a/tests/ice40/ice40_opt.ys b/tests/arch/ice40/ice40_opt.ys
index b17c69c91..b17c69c91 100644
--- a/tests/ice40/ice40_opt.ys
+++ b/tests/arch/ice40/ice40_opt.ys
diff --git a/tests/arch/ice40/latches.ys b/tests/arch/ice40/latches.ys
new file mode 100644
index 000000000..b06dd630b
--- /dev/null
+++ b/tests/arch/ice40/latches.ys
@@ -0,0 +1,33 @@
+read_verilog ../common/latches.v
+design -save read
+
+hierarchy -top latchp
+proc
+# Can't run any sort of equivalence check because latches are blown to LUTs
+synth_ice40
+cd latchp # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_LUT4
+
+select -assert-none t:SB_LUT4 %% t:* %D
+
+
+design -load read
+hierarchy -top latchn
+proc
+# Can't run any sort of equivalence check because latches are blown to LUTs
+synth_ice40
+cd latchn # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_LUT4
+
+select -assert-none t:SB_LUT4 %% t:* %D
+
+
+design -load read
+hierarchy -top latchsr
+proc
+# Can't run any sort of equivalence check because latches are blown to LUTs
+synth_ice40
+cd latchsr # Constrain all select calls below inside the top module
+select -assert-count 2 t:SB_LUT4
+
+select -assert-none t:SB_LUT4 %% t:* %D
diff --git a/tests/ice40/logic.ys b/tests/arch/ice40/logic.ys
index fc5e5b1d8..7432f5b1f 100644
--- a/tests/ice40/logic.ys
+++ b/tests/arch/ice40/logic.ys
@@ -1,4 +1,4 @@
-read_verilog logic.v
+read_verilog ../common/logic.v
hierarchy -top top
equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
diff --git a/tests/ice40/macc.v b/tests/arch/ice40/macc.v
index 6f68e7500..6f68e7500 100644
--- a/tests/ice40/macc.v
+++ b/tests/arch/ice40/macc.v
diff --git a/tests/ice40/macc.ys b/tests/arch/ice40/macc.ys
index fd30e79c5..fd30e79c5 100644
--- a/tests/ice40/macc.ys
+++ b/tests/arch/ice40/macc.ys
diff --git a/tests/ice40/memory.ys b/tests/arch/ice40/memory.ys
index a66afbae6..c356e67fb 100644
--- a/tests/ice40/memory.ys
+++ b/tests/arch/ice40/memory.ys
@@ -1,4 +1,4 @@
-read_verilog memory.v
+read_verilog ../common/memory.v
hierarchy -top top
proc
memory -nomap
diff --git a/tests/ice40/mul.ys b/tests/arch/ice40/mul.ys
index 8a0822a84..9891b77d6 100644
--- a/tests/ice40/mul.ys
+++ b/tests/arch/ice40/mul.ys
@@ -1,4 +1,4 @@
-read_verilog mul.v
+read_verilog ../common/mul.v
hierarchy -top top
equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 -dsp # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
diff --git a/tests/arch/ice40/mux.ys b/tests/arch/ice40/mux.ys
new file mode 100644
index 000000000..99822391d
--- /dev/null
+++ b/tests/arch/ice40/mux.ys
@@ -0,0 +1,40 @@
+read_verilog ../common/mux.v
+design -save read
+
+hierarchy -top mux2
+proc
+equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd mux2 # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_LUT4
+select -assert-none t:SB_LUT4 %% t:* %D
+
+design -load read
+hierarchy -top mux4
+proc
+equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd mux4 # Constrain all select calls below inside the top module
+select -assert-count 2 t:SB_LUT4
+
+select -assert-none t:SB_LUT4 %% t:* %D
+
+design -load read
+hierarchy -top mux8
+proc
+equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd mux8 # Constrain all select calls below inside the top module
+select -assert-count 5 t:SB_LUT4
+
+select -assert-none t:SB_LUT4 %% t:* %D
+
+design -load read
+hierarchy -top mux16
+proc
+equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd mux16 # Constrain all select calls below inside the top module
+select -assert-count 11 t:SB_LUT4
+
+select -assert-none t:SB_LUT4 %% t:* %D
diff --git a/tests/ice40/rom.v b/tests/arch/ice40/rom.v
index 0a0f41f37..0a0f41f37 100644
--- a/tests/ice40/rom.v
+++ b/tests/arch/ice40/rom.v
diff --git a/tests/ice40/rom.ys b/tests/arch/ice40/rom.ys
index 41d214e2a..41d214e2a 100644
--- a/tests/ice40/rom.ys
+++ b/tests/arch/ice40/rom.ys
diff --git a/tests/ice40/run-test.sh b/tests/arch/ice40/run-test.sh
index 46716f9a0..bf19b887d 100755
--- a/tests/ice40/run-test.sh
+++ b/tests/arch/ice40/run-test.sh
@@ -6,7 +6,7 @@ for x in *.ys; do
echo "all:: run-$x"
echo "run-$x:"
echo " @echo 'Running $x..'"
- echo " @../../yosys -ql ${x%.ys}.log -w 'Yosys has only limited support for tri-state logic at the moment.' $x"
+ echo " @../../../yosys -ql ${x%.ys}.log -w 'Yosys has only limited support for tri-state logic at the moment.' $x"
done
for s in *.sh; do
if [ "$s" != "run-test.sh" ]; then
diff --git a/tests/ice40/shifter.ys b/tests/arch/ice40/shifter.ys
index 47d95d298..08ea64f3d 100644
--- a/tests/ice40/shifter.ys
+++ b/tests/arch/ice40/shifter.ys
@@ -1,4 +1,4 @@
-read_verilog shifter.v
+read_verilog ../common/shifter.v
hierarchy -top top
proc
flatten
diff --git a/tests/ice40/tribuf.ys b/tests/arch/ice40/tribuf.ys
index d1e1b3108..10cded954 100644
--- a/tests/ice40/tribuf.ys
+++ b/tests/arch/ice40/tribuf.ys
@@ -1,9 +1,11 @@
-read_verilog tribuf.v
-hierarchy -top top
+read_verilog ../common/tribuf.v
+hierarchy -top tristate
proc
+tribuf
flatten
+synth
equiv_opt -assert -map +/ice40/cells_sim.v -map +/simcells.v synth_ice40 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
+cd tristate # Constrain all select calls below inside the top module
select -assert-count 1 t:$_TBUF_
select -assert-none t:$_TBUF_ %% t:* %D
diff --git a/tests/ice40/wrapcarry.ys b/tests/arch/ice40/wrapcarry.ys
index 10c029e68..10c029e68 100644
--- a/tests/ice40/wrapcarry.ys
+++ b/tests/arch/ice40/wrapcarry.ys
diff --git a/tests/xilinx/.gitignore b/tests/arch/xilinx/.gitignore
index c99b79371..c99b79371 100644
--- a/tests/xilinx/.gitignore
+++ b/tests/arch/xilinx/.gitignore
diff --git a/tests/xilinx/add_sub.ys b/tests/arch/xilinx/add_sub.ys
index f06e7fa01..9dbddce47 100644
--- a/tests/xilinx/add_sub.ys
+++ b/tests/arch/xilinx/add_sub.ys
@@ -1,4 +1,4 @@
-read_verilog add_sub.v
+read_verilog ../common/add_sub.v
hierarchy -top top
proc
equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx # equivalency check
diff --git a/tests/xilinx/adffs.ys b/tests/arch/xilinx/adffs.ys
index 1923b9802..12c34415e 100644
--- a/tests/xilinx/adffs.ys
+++ b/tests/arch/xilinx/adffs.ys
@@ -1,4 +1,4 @@
-read_verilog adffs.v
+read_verilog ../common/adffs.v
design -save read
hierarchy -top adff
diff --git a/tests/xilinx/counter.ys b/tests/arch/xilinx/counter.ys
index 459541656..57b645d19 100644
--- a/tests/xilinx/counter.ys
+++ b/tests/arch/xilinx/counter.ys
@@ -1,4 +1,4 @@
-read_verilog counter.v
+read_verilog ../common/counter.v
hierarchy -top top
proc
flatten
diff --git a/tests/xilinx/dffs.ys b/tests/arch/xilinx/dffs.ys
index f1716dabb..0bba4858f 100644
--- a/tests/xilinx/dffs.ys
+++ b/tests/arch/xilinx/dffs.ys
@@ -1,4 +1,4 @@
-read_verilog dffs.v
+read_verilog ../common/dffs.v
design -save read
hierarchy -top dff
diff --git a/tests/xilinx/dsp_simd.ys b/tests/arch/xilinx/dsp_simd.ys
index 956952327..956952327 100644
--- a/tests/xilinx/dsp_simd.ys
+++ b/tests/arch/xilinx/dsp_simd.ys
diff --git a/tests/xilinx/fsm.ys b/tests/arch/xilinx/fsm.ys
index a9e94c2c0..d2b481421 100644
--- a/tests/xilinx/fsm.ys
+++ b/tests/arch/xilinx/fsm.ys
@@ -1,4 +1,4 @@
-read_verilog fsm.v
+read_verilog ../common/fsm.v
hierarchy -top fsm
proc
flatten
diff --git a/tests/xilinx/latches.ys b/tests/arch/xilinx/latches.ys
index 3eb550a42..fe7887e8d 100644
--- a/tests/xilinx/latches.ys
+++ b/tests/arch/xilinx/latches.ys
@@ -1,4 +1,4 @@
-read_verilog latches.v
+read_verilog ../common/latches.v
design -save read
hierarchy -top latchp
diff --git a/tests/xilinx/logic.ys b/tests/arch/xilinx/logic.ys
index 9ae5993aa..c0f6da302 100644
--- a/tests/xilinx/logic.ys
+++ b/tests/arch/xilinx/logic.ys
@@ -1,4 +1,4 @@
-read_verilog logic.v
+read_verilog ../common/logic.v
hierarchy -top top
proc
equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx # equivalency check
diff --git a/tests/arch/xilinx/macc.sh b/tests/arch/xilinx/macc.sh
new file mode 100644
index 000000000..2272679ee
--- /dev/null
+++ b/tests/arch/xilinx/macc.sh
@@ -0,0 +1,3 @@
+../../../yosys -qp "synth_xilinx -top macc2; rename -top macc2_uut" macc.v -o macc_uut.v
+iverilog -o test_macc macc_tb.v macc_uut.v macc.v ../../../techlibs/xilinx/cells_sim.v
+vvp -N ./test_macc
diff --git a/tests/xilinx/macc.v b/tests/arch/xilinx/macc.v
index e36b2bab1..e36b2bab1 100644
--- a/tests/xilinx/macc.v
+++ b/tests/arch/xilinx/macc.v
diff --git a/tests/xilinx/macc.ys b/tests/arch/xilinx/macc.ys
index 6e884b35a..6e884b35a 100644
--- a/tests/xilinx/macc.ys
+++ b/tests/arch/xilinx/macc.ys
diff --git a/tests/xilinx/macc_tb.v b/tests/arch/xilinx/macc_tb.v
index 64aed05c4..64aed05c4 100644
--- a/tests/xilinx/macc_tb.v
+++ b/tests/arch/xilinx/macc_tb.v
diff --git a/tests/xilinx/memory.ys b/tests/arch/xilinx/memory.ys
index 5402513a2..da1ed0e49 100644
--- a/tests/xilinx/memory.ys
+++ b/tests/arch/xilinx/memory.ys
@@ -1,4 +1,4 @@
-read_verilog memory.v
+read_verilog ../common/memory.v
hierarchy -top top
proc
memory -nomap
diff --git a/tests/xilinx/mul.ys b/tests/arch/xilinx/mul.ys
index 66a06efdc..d76814966 100644
--- a/tests/xilinx/mul.ys
+++ b/tests/arch/xilinx/mul.ys
@@ -1,4 +1,4 @@
-read_verilog mul.v
+read_verilog ../common/mul.v
hierarchy -top top
proc
equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx # equivalency check
diff --git a/tests/xilinx/mul_unsigned.v b/tests/arch/xilinx/mul_unsigned.v
index e3713a642..e3713a642 100644
--- a/tests/xilinx/mul_unsigned.v
+++ b/tests/arch/xilinx/mul_unsigned.v
diff --git a/tests/xilinx/mul_unsigned.ys b/tests/arch/xilinx/mul_unsigned.ys
index 62495b90c..62495b90c 100644
--- a/tests/xilinx/mul_unsigned.ys
+++ b/tests/arch/xilinx/mul_unsigned.ys
diff --git a/tests/xilinx/mux.ys b/tests/arch/xilinx/mux.ys
index 420dece4e..821d0fab7 100644
--- a/tests/xilinx/mux.ys
+++ b/tests/arch/xilinx/mux.ys
@@ -1,4 +1,4 @@
-read_verilog mux.v
+read_verilog ../common/mux.v
design -save read
hierarchy -top mux2
diff --git a/tests/xilinx/pmgen_xilinx_srl.ys b/tests/arch/xilinx/pmgen_xilinx_srl.ys
index ea2f20487..ea2f20487 100644
--- a/tests/xilinx/pmgen_xilinx_srl.ys
+++ b/tests/arch/xilinx/pmgen_xilinx_srl.ys
diff --git a/tests/arch/xilinx/run-test.sh b/tests/arch/xilinx/run-test.sh
new file mode 100755
index 000000000..bf19b887d
--- /dev/null
+++ b/tests/arch/xilinx/run-test.sh
@@ -0,0 +1,20 @@
+#!/usr/bin/env bash
+set -e
+{
+echo "all::"
+for x in *.ys; do
+ echo "all:: run-$x"
+ echo "run-$x:"
+ echo " @echo 'Running $x..'"
+ echo " @../../../yosys -ql ${x%.ys}.log -w 'Yosys has only limited support for tri-state logic at the moment.' $x"
+done
+for s in *.sh; do
+ if [ "$s" != "run-test.sh" ]; then
+ echo "all:: run-$s"
+ echo "run-$s:"
+ echo " @echo 'Running $s..'"
+ echo " @bash $s"
+ fi
+done
+} > run-test.mk
+exec ${MAKE:-make} -f run-test.mk
diff --git a/tests/xilinx/shifter.ys b/tests/arch/xilinx/shifter.ys
index 84e16f41e..455437f18 100644
--- a/tests/xilinx/shifter.ys
+++ b/tests/arch/xilinx/shifter.ys
@@ -1,4 +1,4 @@
-read_verilog shifter.v
+read_verilog ../common/shifter.v
hierarchy -top top
proc
flatten
diff --git a/tests/xilinx/tribuf.ys b/tests/arch/xilinx/tribuf.ys
index c9cfb8546..4697703ca 100644
--- a/tests/xilinx/tribuf.ys
+++ b/tests/arch/xilinx/tribuf.ys
@@ -1,4 +1,4 @@
-read_verilog tribuf.v
+read_verilog ../common/tribuf.v
hierarchy -top tristate
proc
tribuf
diff --git a/tests/xilinx/xilinx_srl.v b/tests/arch/xilinx/xilinx_srl.v
index bc2a15ab2..bc2a15ab2 100644
--- a/tests/xilinx/xilinx_srl.v
+++ b/tests/arch/xilinx/xilinx_srl.v
diff --git a/tests/xilinx/xilinx_srl.ys b/tests/arch/xilinx/xilinx_srl.ys
index b8df0e55a..b8df0e55a 100644
--- a/tests/xilinx/xilinx_srl.ys
+++ b/tests/arch/xilinx/xilinx_srl.ys
diff --git a/tests/ecp5/add_sub.v b/tests/ecp5/add_sub.v
deleted file mode 100644
index 177c32e30..000000000
--- a/tests/ecp5/add_sub.v
+++ /dev/null
@@ -1,13 +0,0 @@
-module top
-(
- input [3:0] x,
- input [3:0] y,
-
- output [3:0] A,
- output [3:0] B
- );
-
-assign A = x + y;
-assign B = x - y;
-
-endmodule
diff --git a/tests/ecp5/adffs.v b/tests/ecp5/adffs.v
deleted file mode 100644
index 223b52d21..000000000
--- a/tests/ecp5/adffs.v
+++ /dev/null
@@ -1,47 +0,0 @@
-module adff
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, posedge clr )
- if ( clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module adffn
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, negedge clr )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module dffs
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( pre )
- q <= 1'b1;
- else
- q <= d;
-endmodule
-
-module ndffnr
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( negedge clk )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
diff --git a/tests/ecp5/counter.v b/tests/ecp5/counter.v
deleted file mode 100644
index 52852f8ac..000000000
--- a/tests/ecp5/counter.v
+++ /dev/null
@@ -1,17 +0,0 @@
-module top (
-out,
-clk,
-reset
-);
- output [7:0] out;
- input clk, reset;
- reg [7:0] out;
-
- always @(posedge clk, posedge reset)
- if (reset) begin
- out <= 8'b0 ;
- end else
- out <= out + 1;
-
-
-endmodule
diff --git a/tests/ecp5/dffs.v b/tests/ecp5/dffs.v
deleted file mode 100644
index 3418787c9..000000000
--- a/tests/ecp5/dffs.v
+++ /dev/null
@@ -1,15 +0,0 @@
-module dff
- ( input d, clk, output reg q );
- always @( posedge clk )
- q <= d;
-endmodule
-
-module dffe
- ( input d, clk, en, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( en )
- q <= d;
-endmodule
diff --git a/tests/ecp5/fsm.v b/tests/ecp5/fsm.v
deleted file mode 100644
index 368fbaace..000000000
--- a/tests/ecp5/fsm.v
+++ /dev/null
@@ -1,55 +0,0 @@
- module fsm (
- clock,
- reset,
- req_0,
- req_1,
- gnt_0,
- gnt_1
- );
- input clock,reset,req_0,req_1;
- output gnt_0,gnt_1;
- wire clock,reset,req_0,req_1;
- reg gnt_0,gnt_1;
-
- parameter SIZE = 3 ;
- parameter IDLE = 3'b001,GNT0 = 3'b010,GNT1 = 3'b100,GNT2 = 3'b101 ;
-
- reg [SIZE-1:0] state;
- reg [SIZE-1:0] next_state;
-
- always @ (posedge clock)
- begin : FSM
- if (reset == 1'b1) begin
- state <= #1 IDLE;
- gnt_0 <= 0;
- gnt_1 <= 0;
- end else
- case(state)
- IDLE : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- gnt_0 <= 1;
- end else if (req_1 == 1'b1) begin
- gnt_1 <= 1;
- state <= #1 GNT0;
- end else begin
- state <= #1 IDLE;
- end
- GNT0 : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- end else begin
- gnt_0 <= 0;
- state <= #1 IDLE;
- end
- GNT1 : if (req_1 == 1'b1) begin
- state <= #1 GNT2;
- gnt_1 <= req_0;
- end
- GNT2 : if (req_0 == 1'b1) begin
- state <= #1 GNT1;
- gnt_1 <= req_1;
- end
- default : state <= #1 IDLE;
- endcase
- end
-
-endmodule
diff --git a/tests/ecp5/latches.v b/tests/ecp5/latches.v
deleted file mode 100644
index adb5d5319..000000000
--- a/tests/ecp5/latches.v
+++ /dev/null
@@ -1,24 +0,0 @@
-module latchp
- ( input d, clk, en, output reg q );
- always @*
- if ( en )
- q <= d;
-endmodule
-
-module latchn
- ( input d, clk, en, output reg q );
- always @*
- if ( !en )
- q <= d;
-endmodule
-
-module latchsr
- ( input d, clk, en, clr, pre, output reg q );
- always @*
- if ( clr )
- q <= 1'b0;
- else if ( pre )
- q <= 1'b1;
- else if ( en )
- q <= d;
-endmodule
diff --git a/tests/ecp5/logic.v b/tests/ecp5/logic.v
deleted file mode 100644
index e5343cae0..000000000
--- a/tests/ecp5/logic.v
+++ /dev/null
@@ -1,18 +0,0 @@
-module top
-(
- input [0:7] in,
- output B1,B2,B3,B4,B5,B6,B7,B8,B9,B10
- );
-
- assign B1 = in[0] & in[1];
- assign B2 = in[0] | in[1];
- assign B3 = in[0] ~& in[1];
- assign B4 = in[0] ~| in[1];
- assign B5 = in[0] ^ in[1];
- assign B6 = in[0] ~^ in[1];
- assign B7 = ~in[0];
- assign B8 = in[0];
- assign B9 = in[0:1] && in [2:3];
- assign B10 = in[0:1] || in [2:3];
-
-endmodule
diff --git a/tests/ecp5/memory.v b/tests/ecp5/memory.v
deleted file mode 100644
index cb7753f7b..000000000
--- a/tests/ecp5/memory.v
+++ /dev/null
@@ -1,21 +0,0 @@
-module top
-(
- input [7:0] data_a,
- input [6:1] addr_a,
- input we_a, clk,
- output reg [7:0] q_a
-);
- // Declare the RAM variable
- reg [7:0] ram[63:0];
-
- // Port A
- always @ (posedge clk)
- begin
- if (we_a)
- begin
- ram[addr_a] <= data_a;
- q_a <= data_a;
- end
- q_a <= ram[addr_a];
- end
-endmodule
diff --git a/tests/ecp5/mul.v b/tests/ecp5/mul.v
deleted file mode 100644
index d5b48b1d7..000000000
--- a/tests/ecp5/mul.v
+++ /dev/null
@@ -1,11 +0,0 @@
-module top
-(
- input [5:0] x,
- input [5:0] y,
-
- output [11:0] A,
- );
-
-assign A = x * y;
-
-endmodule
diff --git a/tests/ecp5/mux.v b/tests/ecp5/mux.v
deleted file mode 100644
index 782424a9b..000000000
--- a/tests/ecp5/mux.v
+++ /dev/null
@@ -1,66 +0,0 @@
-module mux2 (S,A,B,Y);
- input S;
- input A,B;
- output reg Y;
-
- always @(*)
- Y = (S)? B : A;
-endmodule
-
-module mux4 ( S, D, Y );
-
-input[1:0] S;
-input[3:0] D;
-output Y;
-
-reg Y;
-wire[1:0] S;
-wire[3:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- endcase
-end
-
-endmodule
-
-module mux8 ( S, D, Y );
-
-input[2:0] S;
-input[7:0] D;
-output Y;
-
-reg Y;
-wire[2:0] S;
-wire[7:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- 4 : Y = D[4];
- 5 : Y = D[5];
- 6 : Y = D[6];
- 7 : Y = D[7];
- endcase
-end
-
-endmodule
-
-module mux16 (D, S, Y);
- input [15:0] D;
- input [3:0] S;
- output Y;
-
-assign Y = D[S];
-
-endmodule
-
diff --git a/tests/ecp5/shifter.v b/tests/ecp5/shifter.v
deleted file mode 100644
index 04ae49d83..000000000
--- a/tests/ecp5/shifter.v
+++ /dev/null
@@ -1,16 +0,0 @@
-module top (
-out,
-clk,
-in
-);
- output [7:0] out;
- input signed clk, in;
- reg signed [7:0] out = 0;
-
- always @(posedge clk)
- begin
- out <= out >> 1;
- out[7] <= in;
- end
-
-endmodule
diff --git a/tests/ecp5/tribuf.v b/tests/ecp5/tribuf.v
deleted file mode 100644
index 90dd314e4..000000000
--- a/tests/ecp5/tribuf.v
+++ /dev/null
@@ -1,8 +0,0 @@
-module tristate (en, i, o);
- input en;
- input i;
- output o;
-
- assign o = en ? i : 1'bz;
-
-endmodule
diff --git a/tests/efinix/add_sub.v b/tests/efinix/add_sub.v
deleted file mode 100644
index 177c32e30..000000000
--- a/tests/efinix/add_sub.v
+++ /dev/null
@@ -1,13 +0,0 @@
-module top
-(
- input [3:0] x,
- input [3:0] y,
-
- output [3:0] A,
- output [3:0] B
- );
-
-assign A = x + y;
-assign B = x - y;
-
-endmodule
diff --git a/tests/efinix/adffs.v b/tests/efinix/adffs.v
deleted file mode 100644
index 223b52d21..000000000
--- a/tests/efinix/adffs.v
+++ /dev/null
@@ -1,47 +0,0 @@
-module adff
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, posedge clr )
- if ( clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module adffn
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, negedge clr )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module dffs
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( pre )
- q <= 1'b1;
- else
- q <= d;
-endmodule
-
-module ndffnr
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( negedge clk )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
diff --git a/tests/efinix/counter.v b/tests/efinix/counter.v
deleted file mode 100644
index 52852f8ac..000000000
--- a/tests/efinix/counter.v
+++ /dev/null
@@ -1,17 +0,0 @@
-module top (
-out,
-clk,
-reset
-);
- output [7:0] out;
- input clk, reset;
- reg [7:0] out;
-
- always @(posedge clk, posedge reset)
- if (reset) begin
- out <= 8'b0 ;
- end else
- out <= out + 1;
-
-
-endmodule
diff --git a/tests/efinix/dffs.v b/tests/efinix/dffs.v
deleted file mode 100644
index 3418787c9..000000000
--- a/tests/efinix/dffs.v
+++ /dev/null
@@ -1,15 +0,0 @@
-module dff
- ( input d, clk, output reg q );
- always @( posedge clk )
- q <= d;
-endmodule
-
-module dffe
- ( input d, clk, en, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( en )
- q <= d;
-endmodule
diff --git a/tests/efinix/fsm.v b/tests/efinix/fsm.v
deleted file mode 100644
index 368fbaace..000000000
--- a/tests/efinix/fsm.v
+++ /dev/null
@@ -1,55 +0,0 @@
- module fsm (
- clock,
- reset,
- req_0,
- req_1,
- gnt_0,
- gnt_1
- );
- input clock,reset,req_0,req_1;
- output gnt_0,gnt_1;
- wire clock,reset,req_0,req_1;
- reg gnt_0,gnt_1;
-
- parameter SIZE = 3 ;
- parameter IDLE = 3'b001,GNT0 = 3'b010,GNT1 = 3'b100,GNT2 = 3'b101 ;
-
- reg [SIZE-1:0] state;
- reg [SIZE-1:0] next_state;
-
- always @ (posedge clock)
- begin : FSM
- if (reset == 1'b1) begin
- state <= #1 IDLE;
- gnt_0 <= 0;
- gnt_1 <= 0;
- end else
- case(state)
- IDLE : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- gnt_0 <= 1;
- end else if (req_1 == 1'b1) begin
- gnt_1 <= 1;
- state <= #1 GNT0;
- end else begin
- state <= #1 IDLE;
- end
- GNT0 : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- end else begin
- gnt_0 <= 0;
- state <= #1 IDLE;
- end
- GNT1 : if (req_1 == 1'b1) begin
- state <= #1 GNT2;
- gnt_1 <= req_0;
- end
- GNT2 : if (req_0 == 1'b1) begin
- state <= #1 GNT1;
- gnt_1 <= req_1;
- end
- default : state <= #1 IDLE;
- endcase
- end
-
-endmodule
diff --git a/tests/efinix/latches.v b/tests/efinix/latches.v
deleted file mode 100644
index adb5d5319..000000000
--- a/tests/efinix/latches.v
+++ /dev/null
@@ -1,24 +0,0 @@
-module latchp
- ( input d, clk, en, output reg q );
- always @*
- if ( en )
- q <= d;
-endmodule
-
-module latchn
- ( input d, clk, en, output reg q );
- always @*
- if ( !en )
- q <= d;
-endmodule
-
-module latchsr
- ( input d, clk, en, clr, pre, output reg q );
- always @*
- if ( clr )
- q <= 1'b0;
- else if ( pre )
- q <= 1'b1;
- else if ( en )
- q <= d;
-endmodule
diff --git a/tests/efinix/logic.v b/tests/efinix/logic.v
deleted file mode 100644
index e5343cae0..000000000
--- a/tests/efinix/logic.v
+++ /dev/null
@@ -1,18 +0,0 @@
-module top
-(
- input [0:7] in,
- output B1,B2,B3,B4,B5,B6,B7,B8,B9,B10
- );
-
- assign B1 = in[0] & in[1];
- assign B2 = in[0] | in[1];
- assign B3 = in[0] ~& in[1];
- assign B4 = in[0] ~| in[1];
- assign B5 = in[0] ^ in[1];
- assign B6 = in[0] ~^ in[1];
- assign B7 = ~in[0];
- assign B8 = in[0];
- assign B9 = in[0:1] && in [2:3];
- assign B10 = in[0:1] || in [2:3];
-
-endmodule
diff --git a/tests/efinix/memory.v b/tests/efinix/memory.v
deleted file mode 100644
index 5634d6507..000000000
--- a/tests/efinix/memory.v
+++ /dev/null
@@ -1,21 +0,0 @@
-module top
-(
- input [7:0] data_a,
- input [8:1] addr_a,
- input we_a, clk,
- output reg [7:0] q_a
-);
- // Declare the RAM variable
- reg [7:0] ram[63:0];
-
- // Port A
- always @ (posedge clk)
- begin
- if (we_a)
- begin
- ram[addr_a] <= data_a;
- q_a <= data_a;
- end
- q_a <= ram[addr_a];
- end
-endmodule
diff --git a/tests/efinix/mux.v b/tests/efinix/mux.v
deleted file mode 100644
index 27bc0bf0b..000000000
--- a/tests/efinix/mux.v
+++ /dev/null
@@ -1,65 +0,0 @@
-module mux2 (S,A,B,Y);
- input S;
- input A,B;
- output reg Y;
-
- always @(*)
- Y = (S)? B : A;
-endmodule
-
-module mux4 ( S, D, Y );
-
-input[1:0] S;
-input[3:0] D;
-output Y;
-
-reg Y;
-wire[1:0] S;
-wire[3:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- endcase
-end
-
-endmodule
-
-module mux8 ( S, D, Y );
-
-input[2:0] S;
-input[7:0] D;
-output Y;
-
-reg Y;
-wire[2:0] S;
-wire[7:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- 4 : Y = D[4];
- 5 : Y = D[5];
- 6 : Y = D[6];
- 7 : Y = D[7];
- endcase
-end
-
-endmodule
-
-module mux16 (D, S, Y);
- input [15:0] D;
- input [3:0] S;
- output Y;
-
-assign Y = D[S];
-
-endmodule
diff --git a/tests/efinix/shifter.v b/tests/efinix/shifter.v
deleted file mode 100644
index ce2c81dd2..000000000
--- a/tests/efinix/shifter.v
+++ /dev/null
@@ -1,16 +0,0 @@
-module top (
-out,
-clk,
-in
-);
- output [7:0] out;
- input signed clk, in;
- reg signed [7:0] out = 0;
-
- always @(posedge clk)
- begin
- out <= out << 1;
- out[7] <= in;
- end
-
-endmodule
diff --git a/tests/ice40/add_sub.v b/tests/ice40/add_sub.v
deleted file mode 100644
index 177c32e30..000000000
--- a/tests/ice40/add_sub.v
+++ /dev/null
@@ -1,13 +0,0 @@
-module top
-(
- input [3:0] x,
- input [3:0] y,
-
- output [3:0] A,
- output [3:0] B
- );
-
-assign A = x + y;
-assign B = x - y;
-
-endmodule
diff --git a/tests/ice40/adffs.v b/tests/ice40/adffs.v
deleted file mode 100644
index 09dc36001..000000000
--- a/tests/ice40/adffs.v
+++ /dev/null
@@ -1,87 +0,0 @@
-module adff
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, posedge clr )
- if ( clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module adffn
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, negedge clr )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module dffs
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, posedge pre )
- if ( pre )
- q <= 1'b1;
- else
- q <= d;
-endmodule
-
-module ndffnr
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( negedge clk, negedge pre )
- if ( !pre )
- q <= 1'b1;
- else
- q <= d;
-endmodule
-
-module top (
-input clk,
-input clr,
-input pre,
-input a,
-output b,b1,b2,b3
-);
-
-dffs u_dffs (
- .clk (clk ),
- .clr (clr),
- .pre (pre),
- .d (a ),
- .q (b )
- );
-
-ndffnr u_ndffnr (
- .clk (clk ),
- .clr (clr),
- .pre (pre),
- .d (a ),
- .q (b1 )
- );
-
-adff u_adff (
- .clk (clk ),
- .clr (clr),
- .d (a ),
- .q (b2 )
- );
-
-adffn u_adffn (
- .clk (clk ),
- .clr (clr),
- .d (a ),
- .q (b3 )
- );
-
-endmodule
diff --git a/tests/ice40/adffs.ys b/tests/ice40/adffs.ys
deleted file mode 100644
index 548060b66..000000000
--- a/tests/ice40/adffs.ys
+++ /dev/null
@@ -1,11 +0,0 @@
-read_verilog adffs.v
-proc
-flatten
-equiv_opt -multiclock -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
-design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
-select -assert-count 1 t:SB_DFFNS
-select -assert-count 2 t:SB_DFFR
-select -assert-count 1 t:SB_DFFS
-select -assert-count 2 t:SB_LUT4
-select -assert-none t:SB_DFFNS t:SB_DFFR t:SB_DFFS t:SB_LUT4 %% t:* %D
diff --git a/tests/ice40/alu.v b/tests/ice40/alu.v
deleted file mode 100644
index f82cc2e21..000000000
--- a/tests/ice40/alu.v
+++ /dev/null
@@ -1,19 +0,0 @@
-module top (
- input clock,
- input [31:0] dinA, dinB,
- input [2:0] opcode,
- output reg [31:0] dout
-);
- always @(posedge clock) begin
- case (opcode)
- 0: dout <= dinA + dinB;
- 1: dout <= dinA - dinB;
- 2: dout <= dinA >> dinB;
- 3: dout <= $signed(dinA) >>> dinB;
- 4: dout <= dinA << dinB;
- 5: dout <= dinA & dinB;
- 6: dout <= dinA | dinB;
- 7: dout <= dinA ^ dinB;
- endcase
- end
-endmodule
diff --git a/tests/ice40/alu.ys b/tests/ice40/alu.ys
deleted file mode 100644
index bd859efc4..000000000
--- a/tests/ice40/alu.ys
+++ /dev/null
@@ -1,11 +0,0 @@
-read_verilog alu.v
-hierarchy -top top
-proc
-flatten
-equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
-design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
-select -assert-count 62 t:SB_CARRY
-select -assert-count 32 t:SB_DFF
-select -assert-count 655 t:SB_LUT4
-select -assert-none t:SB_CARRY t:SB_DFF t:SB_LUT4 %% t:* %D
diff --git a/tests/ice40/counter.v b/tests/ice40/counter.v
deleted file mode 100644
index 52852f8ac..000000000
--- a/tests/ice40/counter.v
+++ /dev/null
@@ -1,17 +0,0 @@
-module top (
-out,
-clk,
-reset
-);
- output [7:0] out;
- input clk, reset;
- reg [7:0] out;
-
- always @(posedge clk, posedge reset)
- if (reset) begin
- out <= 8'b0 ;
- end else
- out <= out + 1;
-
-
-endmodule
diff --git a/tests/ice40/dffs.v b/tests/ice40/dffs.v
deleted file mode 100644
index d97840c43..000000000
--- a/tests/ice40/dffs.v
+++ /dev/null
@@ -1,37 +0,0 @@
-module dff
- ( input d, clk, output reg q );
- always @( posedge clk )
- q <= d;
-endmodule
-
-module dffe
- ( input d, clk, en, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( en )
- q <= d;
-endmodule
-
-module top (
-input clk,
-input en,
-input a,
-output b,b1,
-);
-
-dff u_dff (
- .clk (clk ),
- .d (a ),
- .q (b )
- );
-
-dffe u_ndffe (
- .clk (clk ),
- .en (en),
- .d (a ),
- .q (b1 )
- );
-
-endmodule
diff --git a/tests/ice40/dffs.ys b/tests/ice40/dffs.ys
deleted file mode 100644
index ee7f884b1..000000000
--- a/tests/ice40/dffs.ys
+++ /dev/null
@@ -1,10 +0,0 @@
-read_verilog dffs.v
-hierarchy -top top
-proc
-flatten
-equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
-design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
-select -assert-count 1 t:SB_DFF
-select -assert-count 1 t:SB_DFFE
-select -assert-none t:SB_DFF t:SB_DFFE %% t:* %D
diff --git a/tests/ice40/div_mod.v b/tests/ice40/div_mod.v
deleted file mode 100644
index 64a36707d..000000000
--- a/tests/ice40/div_mod.v
+++ /dev/null
@@ -1,13 +0,0 @@
-module top
-(
- input [3:0] x,
- input [3:0] y,
-
- output [3:0] A,
- output [3:0] B
- );
-
-assign A = x % y;
-assign B = x / y;
-
-endmodule
diff --git a/tests/ice40/div_mod.ys b/tests/ice40/div_mod.ys
deleted file mode 100644
index 821d6c301..000000000
--- a/tests/ice40/div_mod.ys
+++ /dev/null
@@ -1,9 +0,0 @@
-read_verilog div_mod.v
-hierarchy -top top
-flatten
-equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
-design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
-select -assert-count 59 t:SB_LUT4
-select -assert-count 41 t:SB_CARRY
-select -assert-none t:SB_LUT4 t:SB_CARRY %% t:* %D
diff --git a/tests/ice40/fsm.v b/tests/ice40/fsm.v
deleted file mode 100644
index 0605bd102..000000000
--- a/tests/ice40/fsm.v
+++ /dev/null
@@ -1,73 +0,0 @@
- module fsm (
- clock,
- reset,
- req_0,
- req_1,
- gnt_0,
- gnt_1
- );
- input clock,reset,req_0,req_1;
- output gnt_0,gnt_1;
- wire clock,reset,req_0,req_1;
- reg gnt_0,gnt_1;
-
- parameter SIZE = 3 ;
- parameter IDLE = 3'b001,GNT0 = 3'b010,GNT1 = 3'b100,GNT2 = 3'b101 ;
-
- reg [SIZE-1:0] state;
- reg [SIZE-1:0] next_state;
-
- always @ (posedge clock)
- begin : FSM
- if (reset == 1'b1) begin
- state <= #1 IDLE;
- gnt_0 <= 0;
- gnt_1 <= 0;
- end else
- case(state)
- IDLE : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- gnt_0 <= 1;
- end else if (req_1 == 1'b1) begin
- gnt_1 <= 1;
- state <= #1 GNT0;
- end else begin
- state <= #1 IDLE;
- end
- GNT0 : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- end else begin
- gnt_0 <= 0;
- state <= #1 IDLE;
- end
- GNT1 : if (req_1 == 1'b1) begin
- state <= #1 GNT2;
- gnt_1 <= req_0;
- end
- GNT2 : if (req_0 == 1'b1) begin
- state <= #1 GNT1;
- gnt_1 <= req_1;
- end
- default : state <= #1 IDLE;
- endcase
- end
-
- endmodule
-
- module top (
-input clk,
-input rst,
-input a,
-input b,
-output g0,
-output g1
-);
-
-fsm u_fsm ( .clock(clk),
- .reset(rst),
- .req_0(a),
- .req_1(b),
- .gnt_0(g0),
- .gnt_1(g1));
-
-endmodule
diff --git a/tests/ice40/latches.v b/tests/ice40/latches.v
deleted file mode 100644
index 9dc43e4c2..000000000
--- a/tests/ice40/latches.v
+++ /dev/null
@@ -1,58 +0,0 @@
-module latchp
- ( input d, clk, en, output reg q );
- always @*
- if ( en )
- q <= d;
-endmodule
-
-module latchn
- ( input d, clk, en, output reg q );
- always @*
- if ( !en )
- q <= d;
-endmodule
-
-module latchsr
- ( input d, clk, en, clr, pre, output reg q );
- always @*
- if ( clr )
- q <= 1'b0;
- else if ( pre )
- q <= 1'b1;
- else if ( en )
- q <= d;
-endmodule
-
-
-module top (
-input clk,
-input clr,
-input pre,
-input a,
-output b,b1,b2
-);
-
-
-latchp u_latchp (
- .en (clk ),
- .d (a ),
- .q (b )
- );
-
-
-latchn u_latchn (
- .en (clk ),
- .d (a ),
- .q (b1 )
- );
-
-
-latchsr u_latchsr (
- .en (clk ),
- .clr (clr),
- .pre (pre),
- .d (a ),
- .q (b2 )
- );
-
-endmodule
diff --git a/tests/ice40/latches.ys b/tests/ice40/latches.ys
deleted file mode 100644
index 708734e44..000000000
--- a/tests/ice40/latches.ys
+++ /dev/null
@@ -1,12 +0,0 @@
-read_verilog latches.v
-
-proc
-flatten
-# Can't run any sort of equivalence check because latches are blown to LUTs
-#equiv_opt -async2sync -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
-
-#design -load preopt
-synth_ice40
-cd top
-select -assert-count 4 t:SB_LUT4
-select -assert-none t:SB_LUT4 %% t:* %D
diff --git a/tests/ice40/logic.v b/tests/ice40/logic.v
deleted file mode 100644
index e5343cae0..000000000
--- a/tests/ice40/logic.v
+++ /dev/null
@@ -1,18 +0,0 @@
-module top
-(
- input [0:7] in,
- output B1,B2,B3,B4,B5,B6,B7,B8,B9,B10
- );
-
- assign B1 = in[0] & in[1];
- assign B2 = in[0] | in[1];
- assign B3 = in[0] ~& in[1];
- assign B4 = in[0] ~| in[1];
- assign B5 = in[0] ^ in[1];
- assign B6 = in[0] ~^ in[1];
- assign B7 = ~in[0];
- assign B8 = in[0];
- assign B9 = in[0:1] && in [2:3];
- assign B10 = in[0:1] || in [2:3];
-
-endmodule
diff --git a/tests/ice40/memory.v b/tests/ice40/memory.v
deleted file mode 100644
index cb7753f7b..000000000
--- a/tests/ice40/memory.v
+++ /dev/null
@@ -1,21 +0,0 @@
-module top
-(
- input [7:0] data_a,
- input [6:1] addr_a,
- input we_a, clk,
- output reg [7:0] q_a
-);
- // Declare the RAM variable
- reg [7:0] ram[63:0];
-
- // Port A
- always @ (posedge clk)
- begin
- if (we_a)
- begin
- ram[addr_a] <= data_a;
- q_a <= data_a;
- end
- q_a <= ram[addr_a];
- end
-endmodule
diff --git a/tests/ice40/mul.v b/tests/ice40/mul.v
deleted file mode 100644
index d5b48b1d7..000000000
--- a/tests/ice40/mul.v
+++ /dev/null
@@ -1,11 +0,0 @@
-module top
-(
- input [5:0] x,
- input [5:0] y,
-
- output [11:0] A,
- );
-
-assign A = x * y;
-
-endmodule
diff --git a/tests/ice40/mux.v b/tests/ice40/mux.v
deleted file mode 100644
index 0814b733e..000000000
--- a/tests/ice40/mux.v
+++ /dev/null
@@ -1,100 +0,0 @@
-module mux2 (S,A,B,Y);
- input S;
- input A,B;
- output reg Y;
-
- always @(*)
- Y = (S)? B : A;
-endmodule
-
-module mux4 ( S, D, Y );
-
-input[1:0] S;
-input[3:0] D;
-output Y;
-
-reg Y;
-wire[1:0] S;
-wire[3:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- endcase
-end
-
-endmodule
-
-module mux8 ( S, D, Y );
-
-input[2:0] S;
-input[7:0] D;
-output Y;
-
-reg Y;
-wire[2:0] S;
-wire[7:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- 4 : Y = D[4];
- 5 : Y = D[5];
- 6 : Y = D[6];
- 7 : Y = D[7];
- endcase
-end
-
-endmodule
-
-module mux16 (D, S, Y);
- input [15:0] D;
- input [3:0] S;
- output Y;
-
-assign Y = D[S];
-
-endmodule
-
-
-module top (
-input [3:0] S,
-input [15:0] D,
-output M2,M4,M8,M16
-);
-
-mux2 u_mux2 (
- .S (S[0]),
- .A (D[0]),
- .B (D[1]),
- .Y (M2)
- );
-
-
-mux4 u_mux4 (
- .S (S[1:0]),
- .D (D[3:0]),
- .Y (M4)
- );
-
-mux8 u_mux8 (
- .S (S[2:0]),
- .D (D[7:0]),
- .Y (M8)
- );
-
-mux16 u_mux16 (
- .S (S[3:0]),
- .D (D[15:0]),
- .Y (M16)
- );
-
-endmodule
diff --git a/tests/ice40/mux.ys b/tests/ice40/mux.ys
deleted file mode 100644
index 182b49499..000000000
--- a/tests/ice40/mux.ys
+++ /dev/null
@@ -1,8 +0,0 @@
-read_verilog mux.v
-proc
-flatten
-equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
-design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
-select -assert-count 19 t:SB_LUT4
-select -assert-none t:SB_LUT4 %% t:* %D
diff --git a/tests/ice40/shifter.v b/tests/ice40/shifter.v
deleted file mode 100644
index c55632552..000000000
--- a/tests/ice40/shifter.v
+++ /dev/null
@@ -1,22 +0,0 @@
-module top (
-out,
-clk,
-in
-);
- output [7:0] out;
- input signed clk, in;
- reg signed [7:0] out = 0;
-
- always @(posedge clk)
- begin
-`ifndef BUG
- out <= out >> 1;
- out[7] <= in;
-`else
-
- out <= out << 1;
- out[7] <= in;
-`endif
- end
-
-endmodule
diff --git a/tests/ice40/tribuf.v b/tests/ice40/tribuf.v
deleted file mode 100644
index 870a02584..000000000
--- a/tests/ice40/tribuf.v
+++ /dev/null
@@ -1,23 +0,0 @@
-module tristate (en, i, o);
- input en;
- input i;
- output o;
-
- assign o = en ? i : 1'bz;
-
-endmodule
-
-
-module top (
-input en,
-input a,
-output b
-);
-
-tristate u_tri (
- .en (en ),
- .i (a ),
- .o (b )
- );
-
-endmodule
diff --git a/tests/xilinx/add_sub.v b/tests/xilinx/add_sub.v
deleted file mode 100644
index 177c32e30..000000000
--- a/tests/xilinx/add_sub.v
+++ /dev/null
@@ -1,13 +0,0 @@
-module top
-(
- input [3:0] x,
- input [3:0] y,
-
- output [3:0] A,
- output [3:0] B
- );
-
-assign A = x + y;
-assign B = x - y;
-
-endmodule
diff --git a/tests/xilinx/adffs.v b/tests/xilinx/adffs.v
deleted file mode 100644
index 223b52d21..000000000
--- a/tests/xilinx/adffs.v
+++ /dev/null
@@ -1,47 +0,0 @@
-module adff
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, posedge clr )
- if ( clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module adffn
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, negedge clr )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module dffs
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( pre )
- q <= 1'b1;
- else
- q <= d;
-endmodule
-
-module ndffnr
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( negedge clk )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
diff --git a/tests/xilinx/counter.v b/tests/xilinx/counter.v
deleted file mode 100644
index 52852f8ac..000000000
--- a/tests/xilinx/counter.v
+++ /dev/null
@@ -1,17 +0,0 @@
-module top (
-out,
-clk,
-reset
-);
- output [7:0] out;
- input clk, reset;
- reg [7:0] out;
-
- always @(posedge clk, posedge reset)
- if (reset) begin
- out <= 8'b0 ;
- end else
- out <= out + 1;
-
-
-endmodule
diff --git a/tests/xilinx/dffs.v b/tests/xilinx/dffs.v
deleted file mode 100644
index 3418787c9..000000000
--- a/tests/xilinx/dffs.v
+++ /dev/null
@@ -1,15 +0,0 @@
-module dff
- ( input d, clk, output reg q );
- always @( posedge clk )
- q <= d;
-endmodule
-
-module dffe
- ( input d, clk, en, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( en )
- q <= d;
-endmodule
diff --git a/tests/xilinx/fsm.v b/tests/xilinx/fsm.v
deleted file mode 100644
index 368fbaace..000000000
--- a/tests/xilinx/fsm.v
+++ /dev/null
@@ -1,55 +0,0 @@
- module fsm (
- clock,
- reset,
- req_0,
- req_1,
- gnt_0,
- gnt_1
- );
- input clock,reset,req_0,req_1;
- output gnt_0,gnt_1;
- wire clock,reset,req_0,req_1;
- reg gnt_0,gnt_1;
-
- parameter SIZE = 3 ;
- parameter IDLE = 3'b001,GNT0 = 3'b010,GNT1 = 3'b100,GNT2 = 3'b101 ;
-
- reg [SIZE-1:0] state;
- reg [SIZE-1:0] next_state;
-
- always @ (posedge clock)
- begin : FSM
- if (reset == 1'b1) begin
- state <= #1 IDLE;
- gnt_0 <= 0;
- gnt_1 <= 0;
- end else
- case(state)
- IDLE : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- gnt_0 <= 1;
- end else if (req_1 == 1'b1) begin
- gnt_1 <= 1;
- state <= #1 GNT0;
- end else begin
- state <= #1 IDLE;
- end
- GNT0 : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- end else begin
- gnt_0 <= 0;
- state <= #1 IDLE;
- end
- GNT1 : if (req_1 == 1'b1) begin
- state <= #1 GNT2;
- gnt_1 <= req_0;
- end
- GNT2 : if (req_0 == 1'b1) begin
- state <= #1 GNT1;
- gnt_1 <= req_1;
- end
- default : state <= #1 IDLE;
- endcase
- end
-
-endmodule
diff --git a/tests/xilinx/latches.v b/tests/xilinx/latches.v
deleted file mode 100644
index adb5d5319..000000000
--- a/tests/xilinx/latches.v
+++ /dev/null
@@ -1,24 +0,0 @@
-module latchp
- ( input d, clk, en, output reg q );
- always @*
- if ( en )
- q <= d;
-endmodule
-
-module latchn
- ( input d, clk, en, output reg q );
- always @*
- if ( !en )
- q <= d;
-endmodule
-
-module latchsr
- ( input d, clk, en, clr, pre, output reg q );
- always @*
- if ( clr )
- q <= 1'b0;
- else if ( pre )
- q <= 1'b1;
- else if ( en )
- q <= d;
-endmodule
diff --git a/tests/xilinx/logic.v b/tests/xilinx/logic.v
deleted file mode 100644
index e5343cae0..000000000
--- a/tests/xilinx/logic.v
+++ /dev/null
@@ -1,18 +0,0 @@
-module top
-(
- input [0:7] in,
- output B1,B2,B3,B4,B5,B6,B7,B8,B9,B10
- );
-
- assign B1 = in[0] & in[1];
- assign B2 = in[0] | in[1];
- assign B3 = in[0] ~& in[1];
- assign B4 = in[0] ~| in[1];
- assign B5 = in[0] ^ in[1];
- assign B6 = in[0] ~^ in[1];
- assign B7 = ~in[0];
- assign B8 = in[0];
- assign B9 = in[0:1] && in [2:3];
- assign B10 = in[0:1] || in [2:3];
-
-endmodule
diff --git a/tests/xilinx/macc.sh b/tests/xilinx/macc.sh
deleted file mode 100644
index 86e4c2bb6..000000000
--- a/tests/xilinx/macc.sh
+++ /dev/null
@@ -1,3 +0,0 @@
-../../yosys -qp "synth_xilinx -top macc2; rename -top macc2_uut" macc.v -o macc_uut.v
-iverilog -o test_macc macc_tb.v macc_uut.v macc.v ../../techlibs/xilinx/cells_sim.v
-vvp -N ./test_macc
diff --git a/tests/xilinx/memory.v b/tests/xilinx/memory.v
deleted file mode 100644
index cb7753f7b..000000000
--- a/tests/xilinx/memory.v
+++ /dev/null
@@ -1,21 +0,0 @@
-module top
-(
- input [7:0] data_a,
- input [6:1] addr_a,
- input we_a, clk,
- output reg [7:0] q_a
-);
- // Declare the RAM variable
- reg [7:0] ram[63:0];
-
- // Port A
- always @ (posedge clk)
- begin
- if (we_a)
- begin
- ram[addr_a] <= data_a;
- q_a <= data_a;
- end
- q_a <= ram[addr_a];
- end
-endmodule
diff --git a/tests/xilinx/mul.v b/tests/xilinx/mul.v
deleted file mode 100644
index d5b48b1d7..000000000
--- a/tests/xilinx/mul.v
+++ /dev/null
@@ -1,11 +0,0 @@
-module top
-(
- input [5:0] x,
- input [5:0] y,
-
- output [11:0] A,
- );
-
-assign A = x * y;
-
-endmodule
diff --git a/tests/xilinx/mux.v b/tests/xilinx/mux.v
deleted file mode 100644
index 27bc0bf0b..000000000
--- a/tests/xilinx/mux.v
+++ /dev/null
@@ -1,65 +0,0 @@
-module mux2 (S,A,B,Y);
- input S;
- input A,B;
- output reg Y;
-
- always @(*)
- Y = (S)? B : A;
-endmodule
-
-module mux4 ( S, D, Y );
-
-input[1:0] S;
-input[3:0] D;
-output Y;
-
-reg Y;
-wire[1:0] S;
-wire[3:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- endcase
-end
-
-endmodule
-
-module mux8 ( S, D, Y );
-
-input[2:0] S;
-input[7:0] D;
-output Y;
-
-reg Y;
-wire[2:0] S;
-wire[7:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- 4 : Y = D[4];
- 5 : Y = D[5];
- 6 : Y = D[6];
- 7 : Y = D[7];
- endcase
-end
-
-endmodule
-
-module mux16 (D, S, Y);
- input [15:0] D;
- input [3:0] S;
- output Y;
-
-assign Y = D[S];
-
-endmodule
diff --git a/tests/xilinx/run-test.sh b/tests/xilinx/run-test.sh
deleted file mode 100755
index 46716f9a0..000000000
--- a/tests/xilinx/run-test.sh
+++ /dev/null
@@ -1,20 +0,0 @@
-#!/usr/bin/env bash
-set -e
-{
-echo "all::"
-for x in *.ys; do
- echo "all:: run-$x"
- echo "run-$x:"
- echo " @echo 'Running $x..'"
- echo " @../../yosys -ql ${x%.ys}.log -w 'Yosys has only limited support for tri-state logic at the moment.' $x"
-done
-for s in *.sh; do
- if [ "$s" != "run-test.sh" ]; then
- echo "all:: run-$s"
- echo "run-$s:"
- echo " @echo 'Running $s..'"
- echo " @bash $s"
- fi
-done
-} > run-test.mk
-exec ${MAKE:-make} -f run-test.mk
diff --git a/tests/xilinx/shifter.v b/tests/xilinx/shifter.v
deleted file mode 100644
index 04ae49d83..000000000
--- a/tests/xilinx/shifter.v
+++ /dev/null
@@ -1,16 +0,0 @@
-module top (
-out,
-clk,
-in
-);
- output [7:0] out;
- input signed clk, in;
- reg signed [7:0] out = 0;
-
- always @(posedge clk)
- begin
- out <= out >> 1;
- out[7] <= in;
- end
-
-endmodule
diff --git a/tests/xilinx/tribuf.v b/tests/xilinx/tribuf.v
deleted file mode 100644
index c64468253..000000000
--- a/tests/xilinx/tribuf.v
+++ /dev/null
@@ -1,8 +0,0 @@
-module tristate (en, i, o);
- input en;
- input i;
- output reg o;
-
- always @(en or i)
- o <= (en)? i : 1'bZ;
-endmodule