aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorMiodrag Milanovic <mmicko@gmail.com>2019-10-04 08:19:26 +0200
committerMiodrag Milanovic <mmicko@gmail.com>2019-10-17 17:10:42 +0200
commita7fbc8c3fe1e2ad867ffc3456943644e70ab2575 (patch)
tree8d003e32e57687c71f2dbe9a32f638c0a42352a0
parent3b4408432073ec4d9a2b8995b8e08a5bf6175f39 (diff)
downloadyosys-a7fbc8c3fe1e2ad867ffc3456943644e70ab2575.tar.gz
yosys-a7fbc8c3fe1e2ad867ffc3456943644e70ab2575.tar.bz2
yosys-a7fbc8c3fe1e2ad867ffc3456943644e70ab2575.zip
Test per flip-flop type
-rw-r--r--tests/xilinx/adffs.v40
-rw-r--r--tests/xilinx/adffs.ys44
2 files changed, 37 insertions, 47 deletions
diff --git a/tests/xilinx/adffs.v b/tests/xilinx/adffs.v
index 05e68caf7..223b52d21 100644
--- a/tests/xilinx/adffs.v
+++ b/tests/xilinx/adffs.v
@@ -45,43 +45,3 @@ module ndffnr
else
q <= d;
endmodule
-
-module top (
-input clk,
-input clr,
-input pre,
-input a,
-output b,b1,b2,b3
-);
-
-dffs u_dffs (
- .clk (clk ),
- .clr (clr),
- .pre (pre),
- .d (a ),
- .q (b )
- );
-
-ndffnr u_ndffnr (
- .clk (clk ),
- .clr (clr),
- .pre (pre),
- .d (a ),
- .q (b1 )
- );
-
-adff u_adff (
- .clk (clk ),
- .clr (clr),
- .d (a ),
- .q (b2 )
- );
-
-adffn u_adffn (
- .clk (clk ),
- .clr (clr),
- .d (a ),
- .q (b3 )
- );
-
-endmodule
diff --git a/tests/xilinx/adffs.ys b/tests/xilinx/adffs.ys
index 961e08ae9..7edab67c7 100644
--- a/tests/xilinx/adffs.ys
+++ b/tests/xilinx/adffs.ys
@@ -1,14 +1,44 @@
read_verilog adffs.v
+design -save read
+
proc
-flatten
-equiv_opt -multiclock -assert -map +/xilinx/cells_sim.v synth_xilinx # equivalency check
+hierarchy -top adff
+equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
+cd adff # Constrain all select calls below inside the top module
+select -assert-count 1 t:BUFG
+select -assert-count 1 t:FDCE
+select -assert-none t:BUFG t:FDCE %% t:* %D
+
+design -load read
+proc
+hierarchy -top adffn
+equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd adffn # Constrain all select calls below inside the top module
+select -assert-count 1 t:BUFG
+select -assert-count 1 t:FDCE
+select -assert-count 1 t:LUT1
+select -assert-none t:BUFG t:FDCE t:LUT1 %% t:* %D
+design -load read
+proc
+hierarchy -top dffs
+equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd dffs # Constrain all select calls below inside the top module
select -assert-count 1 t:BUFG
-select -assert-count 2 t:FDCE
select -assert-count 1 t:FDRE
+select -assert-count 1 t:LUT2
+select -assert-none t:BUFG t:FDRE t:LUT2 %% t:* %D
+
+design -load read
+proc
+hierarchy -top ndffnr
+equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd ndffnr # Constrain all select calls below inside the top module
+select -assert-count 1 t:BUFG
select -assert-count 1 t:FDRE_1
-select -assert-count 1 t:LUT1
-select -assert-count 2 t:LUT2
-select -assert-none t:BUFG t:FDCE t:FDRE t:FDRE_1 t:LUT1 t:LUT2 %% t:* %D
+select -assert-count 1 t:LUT2
+select -assert-none t:BUFG t:FDRE_1 t:LUT2 %% t:* %D \ No newline at end of file