aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorMiodrag Milanovic <mmicko@gmail.com>2019-10-18 12:19:59 +0200
committerMiodrag Milanovic <mmicko@gmail.com>2019-10-18 12:19:59 +0200
commit5603595e5c0efd2afc9ba810e6e5992e5d81d44c (patch)
treedcf99c611410e055a7ea71c970938ed6ee50a3c6
parentab98f2dccf52a1bba396fe313ea0670603dc45ca (diff)
downloadyosys-5603595e5c0efd2afc9ba810e6e5992e5d81d44c.tar.gz
yosys-5603595e5c0efd2afc9ba810e6e5992e5d81d44c.tar.bz2
yosys-5603595e5c0efd2afc9ba810e6e5992e5d81d44c.zip
Share common tests
-rw-r--r--tests/arch/anlogic/add_sub.ys2
-rw-r--r--tests/arch/anlogic/counter.ys2
-rw-r--r--tests/arch/anlogic/dffs.ys2
-rw-r--r--tests/arch/anlogic/fsm.ys2
-rw-r--r--tests/arch/anlogic/latches.ys2
-rw-r--r--tests/arch/anlogic/logic.ys11
-rw-r--r--tests/arch/anlogic/mux.ys2
-rw-r--r--tests/arch/anlogic/shifter.ys2
-rw-r--r--tests/arch/anlogic/tribuf.v8
-rw-r--r--tests/arch/anlogic/tribuf.ys2
-rw-r--r--tests/arch/common/add_sub.v (renamed from tests/arch/anlogic/add_sub.v)0
-rw-r--r--tests/arch/common/adffs.v (renamed from tests/arch/ecp5/adffs.v)0
-rw-r--r--tests/arch/common/counter.v (renamed from tests/arch/anlogic/counter.v)0
-rw-r--r--tests/arch/common/dffs.v (renamed from tests/arch/anlogic/dffs.v)0
-rw-r--r--tests/arch/common/fsm.v (renamed from tests/arch/anlogic/fsm.v)0
-rw-r--r--tests/arch/common/latches.v (renamed from tests/arch/anlogic/latches.v)0
-rw-r--r--tests/arch/common/logic.v (renamed from tests/arch/ecp5/logic.v)0
-rw-r--r--tests/arch/common/mul.v (renamed from tests/arch/ecp5/mul.v)0
-rw-r--r--tests/arch/common/mux.v (renamed from tests/arch/anlogic/mux.v)0
-rw-r--r--tests/arch/common/shifter.v (renamed from tests/arch/anlogic/shifter.v)0
-rw-r--r--tests/arch/common/tribuf.v (renamed from tests/arch/efinix/tribuf.v)0
-rw-r--r--tests/arch/ecp5/add_sub.v13
-rw-r--r--tests/arch/ecp5/add_sub.ys2
-rw-r--r--tests/arch/ecp5/adffs.ys2
-rw-r--r--tests/arch/ecp5/counter.v17
-rw-r--r--tests/arch/ecp5/counter.ys2
-rw-r--r--tests/arch/ecp5/dffs.v15
-rw-r--r--tests/arch/ecp5/dffs.ys2
-rw-r--r--tests/arch/ecp5/fsm.v55
-rw-r--r--tests/arch/ecp5/fsm.ys2
-rw-r--r--tests/arch/ecp5/latches.v24
-rw-r--r--tests/arch/ecp5/latches.ys3
-rw-r--r--tests/arch/ecp5/logic.ys2
-rw-r--r--tests/arch/ecp5/mul.ys2
-rw-r--r--tests/arch/ecp5/mux.v66
-rw-r--r--tests/arch/ecp5/mux.ys2
-rw-r--r--tests/arch/ecp5/shifter.v16
-rw-r--r--tests/arch/ecp5/shifter.ys2
-rw-r--r--tests/arch/ecp5/tribuf.v8
-rw-r--r--tests/arch/ecp5/tribuf.ys2
-rw-r--r--tests/arch/efinix/add_sub.v13
-rw-r--r--tests/arch/efinix/add_sub.ys2
-rw-r--r--tests/arch/efinix/adffs.v47
-rw-r--r--tests/arch/efinix/adffs.ys2
-rw-r--r--tests/arch/efinix/counter.v17
-rw-r--r--tests/arch/efinix/counter.ys2
-rw-r--r--tests/arch/efinix/dffs.v15
-rw-r--r--tests/arch/efinix/dffs.ys2
-rw-r--r--tests/arch/efinix/fsm.v55
-rw-r--r--tests/arch/efinix/fsm.ys2
-rw-r--r--tests/arch/efinix/latches.v24
-rw-r--r--tests/arch/efinix/latches.ys2
-rw-r--r--tests/arch/efinix/logic.v18
-rw-r--r--tests/arch/efinix/logic.ys2
-rw-r--r--tests/arch/efinix/mux.v65
-rw-r--r--tests/arch/efinix/mux.ys2
-rw-r--r--tests/arch/efinix/shifter.v16
-rw-r--r--tests/arch/efinix/shifter.ys2
-rw-r--r--tests/arch/efinix/tribuf.ys2
-rw-r--r--tests/arch/ice40/add_sub.v13
-rw-r--r--tests/arch/ice40/add_sub.ys2
-rw-r--r--tests/arch/ice40/adffs.v87
-rw-r--r--tests/arch/ice40/adffs.ys46
-rw-r--r--tests/arch/ice40/counter.v17
-rw-r--r--tests/arch/ice40/counter.ys2
-rw-r--r--tests/arch/ice40/dffs.v37
-rw-r--r--tests/arch/ice40/dffs.ys19
-rw-r--r--tests/arch/ice40/fsm.v73
-rw-r--r--tests/arch/ice40/fsm.ys6
-rw-r--r--tests/arch/ice40/latches.v58
-rw-r--r--tests/arch/ice40/latches.ys33
-rw-r--r--tests/arch/ice40/logic.v18
-rw-r--r--tests/arch/ice40/logic.ys2
-rw-r--r--tests/arch/ice40/mul.v11
-rw-r--r--tests/arch/ice40/mul.ys2
-rw-r--r--tests/arch/ice40/mux.v100
-rw-r--r--tests/arch/ice40/mux.ys40
-rw-r--r--tests/arch/ice40/shifter.v22
-rw-r--r--tests/arch/ice40/shifter.ys2
-rw-r--r--tests/arch/ice40/tribuf.v23
-rw-r--r--tests/arch/ice40/tribuf.ys8
-rw-r--r--tests/arch/xilinx/add_sub.v13
-rw-r--r--tests/arch/xilinx/add_sub.ys2
-rw-r--r--tests/arch/xilinx/adffs.v47
-rw-r--r--tests/arch/xilinx/adffs.ys2
-rw-r--r--tests/arch/xilinx/counter.v17
-rw-r--r--tests/arch/xilinx/counter.ys2
-rw-r--r--tests/arch/xilinx/dffs.v15
-rw-r--r--tests/arch/xilinx/dffs.ys2
-rw-r--r--tests/arch/xilinx/fsm.v55
-rw-r--r--tests/arch/xilinx/fsm.ys2
-rw-r--r--tests/arch/xilinx/latches.v24
-rw-r--r--tests/arch/xilinx/latches.ys2
-rw-r--r--tests/arch/xilinx/logic.v18
-rw-r--r--tests/arch/xilinx/logic.ys2
-rw-r--r--tests/arch/xilinx/mul.v11
-rw-r--r--tests/arch/xilinx/mul.ys2
-rw-r--r--tests/arch/xilinx/mux.v65
-rw-r--r--tests/arch/xilinx/mux.ys2
-rw-r--r--tests/arch/xilinx/shifter.v16
-rw-r--r--tests/arch/xilinx/shifter.ys2
-rw-r--r--tests/arch/xilinx/tribuf.v8
-rw-r--r--tests/arch/xilinx/tribuf.ys2
103 files changed, 178 insertions, 1316 deletions
diff --git a/tests/arch/anlogic/add_sub.ys b/tests/arch/anlogic/add_sub.ys
index b8b67cc46..5396ce7ec 100644
--- a/tests/arch/anlogic/add_sub.ys
+++ b/tests/arch/anlogic/add_sub.ys
@@ -1,4 +1,4 @@
-read_verilog add_sub.v
+read_verilog ../common/add_sub.v
hierarchy -top top
proc
equiv_opt -assert -map +/anlogic/cells_sim.v synth_anlogic # equivalency check
diff --git a/tests/arch/anlogic/counter.ys b/tests/arch/anlogic/counter.ys
index 036fdba46..d363ec24e 100644
--- a/tests/arch/anlogic/counter.ys
+++ b/tests/arch/anlogic/counter.ys
@@ -1,4 +1,4 @@
-read_verilog counter.v
+read_verilog ../common/counter.v
hierarchy -top top
proc
flatten
diff --git a/tests/arch/anlogic/dffs.ys b/tests/arch/anlogic/dffs.ys
index 9cbe5fce7..d3281ab89 100644
--- a/tests/arch/anlogic/dffs.ys
+++ b/tests/arch/anlogic/dffs.ys
@@ -1,4 +1,4 @@
-read_verilog dffs.v
+read_verilog ../common/dffs.v
design -save read
hierarchy -top dff
diff --git a/tests/arch/anlogic/fsm.ys b/tests/arch/anlogic/fsm.ys
index 452ef9251..f45951b13 100644
--- a/tests/arch/anlogic/fsm.ys
+++ b/tests/arch/anlogic/fsm.ys
@@ -1,4 +1,4 @@
-read_verilog fsm.v
+read_verilog ../common/fsm.v
hierarchy -top fsm
proc
#flatten
diff --git a/tests/arch/anlogic/latches.ys b/tests/arch/anlogic/latches.ys
index c00c7a25d..8d66f77b3 100644
--- a/tests/arch/anlogic/latches.ys
+++ b/tests/arch/anlogic/latches.ys
@@ -1,4 +1,4 @@
-read_verilog latches.v
+read_verilog ../common/latches.v
design -save read
hierarchy -top latchp
diff --git a/tests/arch/anlogic/logic.ys b/tests/arch/anlogic/logic.ys
new file mode 100644
index 000000000..125ee5d0f
--- /dev/null
+++ b/tests/arch/anlogic/logic.ys
@@ -0,0 +1,11 @@
+read_verilog ../common/logic.v
+hierarchy -top top
+proc
+equiv_opt -assert -map +/anlogic/cells_sim.v synth_anlogic # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd top # Constrain all select calls below inside the top module
+
+select -assert-count 1 t:AL_MAP_LUT1
+select -assert-count 6 t:AL_MAP_LUT2
+select -assert-count 2 t:AL_MAP_LUT4
+select -assert-none t:AL_MAP_LUT1 t:AL_MAP_LUT2 t:AL_MAP_LUT4 %% t:* %D
diff --git a/tests/arch/anlogic/mux.ys b/tests/arch/anlogic/mux.ys
index 64ed2a2bd..3d5fe7c9a 100644
--- a/tests/arch/anlogic/mux.ys
+++ b/tests/arch/anlogic/mux.ys
@@ -1,4 +1,4 @@
-read_verilog mux.v
+read_verilog ../common/mux.v
design -save read
hierarchy -top mux2
diff --git a/tests/arch/anlogic/shifter.ys b/tests/arch/anlogic/shifter.ys
index 5eaed30a3..12df44b2a 100644
--- a/tests/arch/anlogic/shifter.ys
+++ b/tests/arch/anlogic/shifter.ys
@@ -1,4 +1,4 @@
-read_verilog shifter.v
+read_verilog ../common/shifter.v
hierarchy -top top
proc
flatten
diff --git a/tests/arch/anlogic/tribuf.v b/tests/arch/anlogic/tribuf.v
deleted file mode 100644
index 90dd314e4..000000000
--- a/tests/arch/anlogic/tribuf.v
+++ /dev/null
@@ -1,8 +0,0 @@
-module tristate (en, i, o);
- input en;
- input i;
- output o;
-
- assign o = en ? i : 1'bz;
-
-endmodule
diff --git a/tests/arch/anlogic/tribuf.ys b/tests/arch/anlogic/tribuf.ys
index 0eb1338ac..eaa073750 100644
--- a/tests/arch/anlogic/tribuf.ys
+++ b/tests/arch/anlogic/tribuf.ys
@@ -1,4 +1,4 @@
-read_verilog tribuf.v
+read_verilog ../common/tribuf.v
hierarchy -top tristate
proc
flatten
diff --git a/tests/arch/anlogic/add_sub.v b/tests/arch/common/add_sub.v
index 177c32e30..177c32e30 100644
--- a/tests/arch/anlogic/add_sub.v
+++ b/tests/arch/common/add_sub.v
diff --git a/tests/arch/ecp5/adffs.v b/tests/arch/common/adffs.v
index 223b52d21..223b52d21 100644
--- a/tests/arch/ecp5/adffs.v
+++ b/tests/arch/common/adffs.v
diff --git a/tests/arch/anlogic/counter.v b/tests/arch/common/counter.v
index 52852f8ac..52852f8ac 100644
--- a/tests/arch/anlogic/counter.v
+++ b/tests/arch/common/counter.v
diff --git a/tests/arch/anlogic/dffs.v b/tests/arch/common/dffs.v
index 3418787c9..3418787c9 100644
--- a/tests/arch/anlogic/dffs.v
+++ b/tests/arch/common/dffs.v
diff --git a/tests/arch/anlogic/fsm.v b/tests/arch/common/fsm.v
index 368fbaace..368fbaace 100644
--- a/tests/arch/anlogic/fsm.v
+++ b/tests/arch/common/fsm.v
diff --git a/tests/arch/anlogic/latches.v b/tests/arch/common/latches.v
index adb5d5319..adb5d5319 100644
--- a/tests/arch/anlogic/latches.v
+++ b/tests/arch/common/latches.v
diff --git a/tests/arch/ecp5/logic.v b/tests/arch/common/logic.v
index e5343cae0..e5343cae0 100644
--- a/tests/arch/ecp5/logic.v
+++ b/tests/arch/common/logic.v
diff --git a/tests/arch/ecp5/mul.v b/tests/arch/common/mul.v
index d5b48b1d7..d5b48b1d7 100644
--- a/tests/arch/ecp5/mul.v
+++ b/tests/arch/common/mul.v
diff --git a/tests/arch/anlogic/mux.v b/tests/arch/common/mux.v
index 27bc0bf0b..27bc0bf0b 100644
--- a/tests/arch/anlogic/mux.v
+++ b/tests/arch/common/mux.v
diff --git a/tests/arch/anlogic/shifter.v b/tests/arch/common/shifter.v
index 04ae49d83..04ae49d83 100644
--- a/tests/arch/anlogic/shifter.v
+++ b/tests/arch/common/shifter.v
diff --git a/tests/arch/efinix/tribuf.v b/tests/arch/common/tribuf.v
index c64468253..c64468253 100644
--- a/tests/arch/efinix/tribuf.v
+++ b/tests/arch/common/tribuf.v
diff --git a/tests/arch/ecp5/add_sub.v b/tests/arch/ecp5/add_sub.v
deleted file mode 100644
index 177c32e30..000000000
--- a/tests/arch/ecp5/add_sub.v
+++ /dev/null
@@ -1,13 +0,0 @@
-module top
-(
- input [3:0] x,
- input [3:0] y,
-
- output [3:0] A,
- output [3:0] B
- );
-
-assign A = x + y;
-assign B = x - y;
-
-endmodule
diff --git a/tests/arch/ecp5/add_sub.ys b/tests/arch/ecp5/add_sub.ys
index ee72d732f..d85ce792e 100644
--- a/tests/arch/ecp5/add_sub.ys
+++ b/tests/arch/ecp5/add_sub.ys
@@ -1,4 +1,4 @@
-read_verilog add_sub.v
+read_verilog ../common/add_sub.v
hierarchy -top top
proc
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
diff --git a/tests/arch/ecp5/adffs.ys b/tests/arch/ecp5/adffs.ys
index c6780e565..01605df70 100644
--- a/tests/arch/ecp5/adffs.ys
+++ b/tests/arch/ecp5/adffs.ys
@@ -1,4 +1,4 @@
-read_verilog adffs.v
+read_verilog ../common/adffs.v
design -save read
hierarchy -top adff
diff --git a/tests/arch/ecp5/counter.v b/tests/arch/ecp5/counter.v
deleted file mode 100644
index 52852f8ac..000000000
--- a/tests/arch/ecp5/counter.v
+++ /dev/null
@@ -1,17 +0,0 @@
-module top (
-out,
-clk,
-reset
-);
- output [7:0] out;
- input clk, reset;
- reg [7:0] out;
-
- always @(posedge clk, posedge reset)
- if (reset) begin
- out <= 8'b0 ;
- end else
- out <= out + 1;
-
-
-endmodule
diff --git a/tests/arch/ecp5/counter.ys b/tests/arch/ecp5/counter.ys
index 8ef70778f..f9f60fbff 100644
--- a/tests/arch/ecp5/counter.ys
+++ b/tests/arch/ecp5/counter.ys
@@ -1,4 +1,4 @@
-read_verilog counter.v
+read_verilog ../common/counter.v
hierarchy -top top
proc
flatten
diff --git a/tests/arch/ecp5/dffs.v b/tests/arch/ecp5/dffs.v
deleted file mode 100644
index 3418787c9..000000000
--- a/tests/arch/ecp5/dffs.v
+++ /dev/null
@@ -1,15 +0,0 @@
-module dff
- ( input d, clk, output reg q );
- always @( posedge clk )
- q <= d;
-endmodule
-
-module dffe
- ( input d, clk, en, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( en )
- q <= d;
-endmodule
diff --git a/tests/arch/ecp5/dffs.ys b/tests/arch/ecp5/dffs.ys
index a4f45d2fb..be97972db 100644
--- a/tests/arch/ecp5/dffs.ys
+++ b/tests/arch/ecp5/dffs.ys
@@ -1,4 +1,4 @@
-read_verilog dffs.v
+read_verilog ../common/dffs.v
design -save read
hierarchy -top dff
diff --git a/tests/arch/ecp5/fsm.v b/tests/arch/ecp5/fsm.v
deleted file mode 100644
index 368fbaace..000000000
--- a/tests/arch/ecp5/fsm.v
+++ /dev/null
@@ -1,55 +0,0 @@
- module fsm (
- clock,
- reset,
- req_0,
- req_1,
- gnt_0,
- gnt_1
- );
- input clock,reset,req_0,req_1;
- output gnt_0,gnt_1;
- wire clock,reset,req_0,req_1;
- reg gnt_0,gnt_1;
-
- parameter SIZE = 3 ;
- parameter IDLE = 3'b001,GNT0 = 3'b010,GNT1 = 3'b100,GNT2 = 3'b101 ;
-
- reg [SIZE-1:0] state;
- reg [SIZE-1:0] next_state;
-
- always @ (posedge clock)
- begin : FSM
- if (reset == 1'b1) begin
- state <= #1 IDLE;
- gnt_0 <= 0;
- gnt_1 <= 0;
- end else
- case(state)
- IDLE : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- gnt_0 <= 1;
- end else if (req_1 == 1'b1) begin
- gnt_1 <= 1;
- state <= #1 GNT0;
- end else begin
- state <= #1 IDLE;
- end
- GNT0 : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- end else begin
- gnt_0 <= 0;
- state <= #1 IDLE;
- end
- GNT1 : if (req_1 == 1'b1) begin
- state <= #1 GNT2;
- gnt_1 <= req_0;
- end
- GNT2 : if (req_0 == 1'b1) begin
- state <= #1 GNT1;
- gnt_1 <= req_1;
- end
- default : state <= #1 IDLE;
- endcase
- end
-
-endmodule
diff --git a/tests/arch/ecp5/fsm.ys b/tests/arch/ecp5/fsm.ys
index ded91e5f7..f834a4c6b 100644
--- a/tests/arch/ecp5/fsm.ys
+++ b/tests/arch/ecp5/fsm.ys
@@ -1,4 +1,4 @@
-read_verilog fsm.v
+read_verilog ../common/fsm.v
hierarchy -top fsm
proc
flatten
diff --git a/tests/arch/ecp5/latches.v b/tests/arch/ecp5/latches.v
deleted file mode 100644
index adb5d5319..000000000
--- a/tests/arch/ecp5/latches.v
+++ /dev/null
@@ -1,24 +0,0 @@
-module latchp
- ( input d, clk, en, output reg q );
- always @*
- if ( en )
- q <= d;
-endmodule
-
-module latchn
- ( input d, clk, en, output reg q );
- always @*
- if ( !en )
- q <= d;
-endmodule
-
-module latchsr
- ( input d, clk, en, clr, pre, output reg q );
- always @*
- if ( clr )
- q <= 1'b0;
- else if ( pre )
- q <= 1'b1;
- else if ( en )
- q <= d;
-endmodule
diff --git a/tests/arch/ecp5/latches.ys b/tests/arch/ecp5/latches.ys
index fc15a6910..3d011d74f 100644
--- a/tests/arch/ecp5/latches.ys
+++ b/tests/arch/ecp5/latches.ys
@@ -1,5 +1,4 @@
-
-read_verilog latches.v
+read_verilog ../common/latches.v
design -save read
hierarchy -top latchp
diff --git a/tests/arch/ecp5/logic.ys b/tests/arch/ecp5/logic.ys
index 4f113a130..3298b198f 100644
--- a/tests/arch/ecp5/logic.ys
+++ b/tests/arch/ecp5/logic.ys
@@ -1,4 +1,4 @@
-read_verilog logic.v
+read_verilog ../common/logic.v
hierarchy -top top
proc
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
diff --git a/tests/arch/ecp5/mul.ys b/tests/arch/ecp5/mul.ys
index 0a91f892e..2105be52c 100644
--- a/tests/arch/ecp5/mul.ys
+++ b/tests/arch/ecp5/mul.ys
@@ -1,4 +1,4 @@
-read_verilog mul.v
+read_verilog ../common/mul.v
hierarchy -top top
proc
# Blocked by issue #1358 (Missing ECP5 simulation models)
diff --git a/tests/arch/ecp5/mux.v b/tests/arch/ecp5/mux.v
deleted file mode 100644
index 782424a9b..000000000
--- a/tests/arch/ecp5/mux.v
+++ /dev/null
@@ -1,66 +0,0 @@
-module mux2 (S,A,B,Y);
- input S;
- input A,B;
- output reg Y;
-
- always @(*)
- Y = (S)? B : A;
-endmodule
-
-module mux4 ( S, D, Y );
-
-input[1:0] S;
-input[3:0] D;
-output Y;
-
-reg Y;
-wire[1:0] S;
-wire[3:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- endcase
-end
-
-endmodule
-
-module mux8 ( S, D, Y );
-
-input[2:0] S;
-input[7:0] D;
-output Y;
-
-reg Y;
-wire[2:0] S;
-wire[7:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- 4 : Y = D[4];
- 5 : Y = D[5];
- 6 : Y = D[6];
- 7 : Y = D[7];
- endcase
-end
-
-endmodule
-
-module mux16 (D, S, Y);
- input [15:0] D;
- input [3:0] S;
- output Y;
-
-assign Y = D[S];
-
-endmodule
-
diff --git a/tests/arch/ecp5/mux.ys b/tests/arch/ecp5/mux.ys
index 8cfbd541b..92463aa32 100644
--- a/tests/arch/ecp5/mux.ys
+++ b/tests/arch/ecp5/mux.ys
@@ -1,4 +1,4 @@
-read_verilog mux.v
+read_verilog ../common/mux.v
design -save read
hierarchy -top mux2
diff --git a/tests/arch/ecp5/shifter.v b/tests/arch/ecp5/shifter.v
deleted file mode 100644
index 04ae49d83..000000000
--- a/tests/arch/ecp5/shifter.v
+++ /dev/null
@@ -1,16 +0,0 @@
-module top (
-out,
-clk,
-in
-);
- output [7:0] out;
- input signed clk, in;
- reg signed [7:0] out = 0;
-
- always @(posedge clk)
- begin
- out <= out >> 1;
- out[7] <= in;
- end
-
-endmodule
diff --git a/tests/arch/ecp5/shifter.ys b/tests/arch/ecp5/shifter.ys
index e1901e1a8..3f0079f4a 100644
--- a/tests/arch/ecp5/shifter.ys
+++ b/tests/arch/ecp5/shifter.ys
@@ -1,4 +1,4 @@
-read_verilog shifter.v
+read_verilog ../common/shifter.v
hierarchy -top top
proc
flatten
diff --git a/tests/arch/ecp5/tribuf.v b/tests/arch/ecp5/tribuf.v
deleted file mode 100644
index 90dd314e4..000000000
--- a/tests/arch/ecp5/tribuf.v
+++ /dev/null
@@ -1,8 +0,0 @@
-module tristate (en, i, o);
- input en;
- input i;
- output o;
-
- assign o = en ? i : 1'bz;
-
-endmodule
diff --git a/tests/arch/ecp5/tribuf.ys b/tests/arch/ecp5/tribuf.ys
index a6e9c9598..0118705a2 100644
--- a/tests/arch/ecp5/tribuf.ys
+++ b/tests/arch/ecp5/tribuf.ys
@@ -1,4 +1,4 @@
-read_verilog tribuf.v
+read_verilog ../common/tribuf.v
hierarchy -top tristate
proc
flatten
diff --git a/tests/arch/efinix/add_sub.v b/tests/arch/efinix/add_sub.v
deleted file mode 100644
index 177c32e30..000000000
--- a/tests/arch/efinix/add_sub.v
+++ /dev/null
@@ -1,13 +0,0 @@
-module top
-(
- input [3:0] x,
- input [3:0] y,
-
- output [3:0] A,
- output [3:0] B
- );
-
-assign A = x + y;
-assign B = x - y;
-
-endmodule
diff --git a/tests/arch/efinix/add_sub.ys b/tests/arch/efinix/add_sub.ys
index 8bd28c68e..20523c059 100644
--- a/tests/arch/efinix/add_sub.ys
+++ b/tests/arch/efinix/add_sub.ys
@@ -1,4 +1,4 @@
-read_verilog add_sub.v
+read_verilog ../common/add_sub.v
hierarchy -top top
proc
equiv_opt -assert -map +/efinix/cells_sim.v synth_efinix # equivalency check
diff --git a/tests/arch/efinix/adffs.v b/tests/arch/efinix/adffs.v
deleted file mode 100644
index 223b52d21..000000000
--- a/tests/arch/efinix/adffs.v
+++ /dev/null
@@ -1,47 +0,0 @@
-module adff
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, posedge clr )
- if ( clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module adffn
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, negedge clr )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module dffs
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( pre )
- q <= 1'b1;
- else
- q <= d;
-endmodule
-
-module ndffnr
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( negedge clk )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
diff --git a/tests/arch/efinix/adffs.ys b/tests/arch/efinix/adffs.ys
index 1069c6c5c..49dc7f256 100644
--- a/tests/arch/efinix/adffs.ys
+++ b/tests/arch/efinix/adffs.ys
@@ -1,4 +1,4 @@
-read_verilog adffs.v
+read_verilog ../common/adffs.v
design -save read
hierarchy -top adff
diff --git a/tests/arch/efinix/counter.v b/tests/arch/efinix/counter.v
deleted file mode 100644
index 52852f8ac..000000000
--- a/tests/arch/efinix/counter.v
+++ /dev/null
@@ -1,17 +0,0 @@
-module top (
-out,
-clk,
-reset
-);
- output [7:0] out;
- input clk, reset;
- reg [7:0] out;
-
- always @(posedge clk, posedge reset)
- if (reset) begin
- out <= 8'b0 ;
- end else
- out <= out + 1;
-
-
-endmodule
diff --git a/tests/arch/efinix/counter.ys b/tests/arch/efinix/counter.ys
index 82e61d39b..d20b8ae27 100644
--- a/tests/arch/efinix/counter.ys
+++ b/tests/arch/efinix/counter.ys
@@ -1,4 +1,4 @@
-read_verilog counter.v
+read_verilog ../common/counter.v
hierarchy -top top
proc
flatten
diff --git a/tests/arch/efinix/dffs.v b/tests/arch/efinix/dffs.v
deleted file mode 100644
index 3418787c9..000000000
--- a/tests/arch/efinix/dffs.v
+++ /dev/null
@@ -1,15 +0,0 @@
-module dff
- ( input d, clk, output reg q );
- always @( posedge clk )
- q <= d;
-endmodule
-
-module dffe
- ( input d, clk, en, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( en )
- q <= d;
-endmodule
diff --git a/tests/arch/efinix/dffs.ys b/tests/arch/efinix/dffs.ys
index cdd288233..af787ab67 100644
--- a/tests/arch/efinix/dffs.ys
+++ b/tests/arch/efinix/dffs.ys
@@ -1,4 +1,4 @@
-read_verilog dffs.v
+read_verilog ../common/dffs.v
design -save read
hierarchy -top dff
diff --git a/tests/arch/efinix/fsm.v b/tests/arch/efinix/fsm.v
deleted file mode 100644
index 368fbaace..000000000
--- a/tests/arch/efinix/fsm.v
+++ /dev/null
@@ -1,55 +0,0 @@
- module fsm (
- clock,
- reset,
- req_0,
- req_1,
- gnt_0,
- gnt_1
- );
- input clock,reset,req_0,req_1;
- output gnt_0,gnt_1;
- wire clock,reset,req_0,req_1;
- reg gnt_0,gnt_1;
-
- parameter SIZE = 3 ;
- parameter IDLE = 3'b001,GNT0 = 3'b010,GNT1 = 3'b100,GNT2 = 3'b101 ;
-
- reg [SIZE-1:0] state;
- reg [SIZE-1:0] next_state;
-
- always @ (posedge clock)
- begin : FSM
- if (reset == 1'b1) begin
- state <= #1 IDLE;
- gnt_0 <= 0;
- gnt_1 <= 0;
- end else
- case(state)
- IDLE : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- gnt_0 <= 1;
- end else if (req_1 == 1'b1) begin
- gnt_1 <= 1;
- state <= #1 GNT0;
- end else begin
- state <= #1 IDLE;
- end
- GNT0 : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- end else begin
- gnt_0 <= 0;
- state <= #1 IDLE;
- end
- GNT1 : if (req_1 == 1'b1) begin
- state <= #1 GNT2;
- gnt_1 <= req_0;
- end
- GNT2 : if (req_0 == 1'b1) begin
- state <= #1 GNT1;
- gnt_1 <= req_1;
- end
- default : state <= #1 IDLE;
- endcase
- end
-
-endmodule
diff --git a/tests/arch/efinix/fsm.ys b/tests/arch/efinix/fsm.ys
index 2ec75215d..a8ba70fdb 100644
--- a/tests/arch/efinix/fsm.ys
+++ b/tests/arch/efinix/fsm.ys
@@ -1,4 +1,4 @@
-read_verilog fsm.v
+read_verilog ../common/fsm.v
hierarchy -top fsm
proc
flatten
diff --git a/tests/arch/efinix/latches.v b/tests/arch/efinix/latches.v
deleted file mode 100644
index adb5d5319..000000000
--- a/tests/arch/efinix/latches.v
+++ /dev/null
@@ -1,24 +0,0 @@
-module latchp
- ( input d, clk, en, output reg q );
- always @*
- if ( en )
- q <= d;
-endmodule
-
-module latchn
- ( input d, clk, en, output reg q );
- always @*
- if ( !en )
- q <= d;
-endmodule
-
-module latchsr
- ( input d, clk, en, clr, pre, output reg q );
- always @*
- if ( clr )
- q <= 1'b0;
- else if ( pre )
- q <= 1'b1;
- else if ( en )
- q <= d;
-endmodule
diff --git a/tests/arch/efinix/latches.ys b/tests/arch/efinix/latches.ys
index 899d024ce..1b1c00023 100644
--- a/tests/arch/efinix/latches.ys
+++ b/tests/arch/efinix/latches.ys
@@ -1,4 +1,4 @@
-read_verilog latches.v
+read_verilog ../common/latches.v
design -save read
hierarchy -top latchp
diff --git a/tests/arch/efinix/logic.v b/tests/arch/efinix/logic.v
deleted file mode 100644
index e5343cae0..000000000
--- a/tests/arch/efinix/logic.v
+++ /dev/null
@@ -1,18 +0,0 @@
-module top
-(
- input [0:7] in,
- output B1,B2,B3,B4,B5,B6,B7,B8,B9,B10
- );
-
- assign B1 = in[0] & in[1];
- assign B2 = in[0] | in[1];
- assign B3 = in[0] ~& in[1];
- assign B4 = in[0] ~| in[1];
- assign B5 = in[0] ^ in[1];
- assign B6 = in[0] ~^ in[1];
- assign B7 = ~in[0];
- assign B8 = in[0];
- assign B9 = in[0:1] && in [2:3];
- assign B10 = in[0:1] || in [2:3];
-
-endmodule
diff --git a/tests/arch/efinix/logic.ys b/tests/arch/efinix/logic.ys
index fdedb337b..76e98e079 100644
--- a/tests/arch/efinix/logic.ys
+++ b/tests/arch/efinix/logic.ys
@@ -1,4 +1,4 @@
-read_verilog logic.v
+read_verilog ../common/logic.v
hierarchy -top top
proc
equiv_opt -assert -map +/efinix/cells_sim.v synth_efinix # equivalency check
diff --git a/tests/arch/efinix/mux.v b/tests/arch/efinix/mux.v
deleted file mode 100644
index 27bc0bf0b..000000000
--- a/tests/arch/efinix/mux.v
+++ /dev/null
@@ -1,65 +0,0 @@
-module mux2 (S,A,B,Y);
- input S;
- input A,B;
- output reg Y;
-
- always @(*)
- Y = (S)? B : A;
-endmodule
-
-module mux4 ( S, D, Y );
-
-input[1:0] S;
-input[3:0] D;
-output Y;
-
-reg Y;
-wire[1:0] S;
-wire[3:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- endcase
-end
-
-endmodule
-
-module mux8 ( S, D, Y );
-
-input[2:0] S;
-input[7:0] D;
-output Y;
-
-reg Y;
-wire[2:0] S;
-wire[7:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- 4 : Y = D[4];
- 5 : Y = D[5];
- 6 : Y = D[6];
- 7 : Y = D[7];
- endcase
-end
-
-endmodule
-
-module mux16 (D, S, Y);
- input [15:0] D;
- input [3:0] S;
- output Y;
-
-assign Y = D[S];
-
-endmodule
diff --git a/tests/arch/efinix/mux.ys b/tests/arch/efinix/mux.ys
index 71a9681de..b46f641e1 100644
--- a/tests/arch/efinix/mux.ys
+++ b/tests/arch/efinix/mux.ys
@@ -1,4 +1,4 @@
-read_verilog mux.v
+read_verilog ../common/mux.v
design -save read
hierarchy -top mux2
diff --git a/tests/arch/efinix/shifter.v b/tests/arch/efinix/shifter.v
deleted file mode 100644
index ce2c81dd2..000000000
--- a/tests/arch/efinix/shifter.v
+++ /dev/null
@@ -1,16 +0,0 @@
-module top (
-out,
-clk,
-in
-);
- output [7:0] out;
- input signed clk, in;
- reg signed [7:0] out = 0;
-
- always @(posedge clk)
- begin
- out <= out << 1;
- out[7] <= in;
- end
-
-endmodule
diff --git a/tests/arch/efinix/shifter.ys b/tests/arch/efinix/shifter.ys
index 1a6b5565c..54f71167f 100644
--- a/tests/arch/efinix/shifter.ys
+++ b/tests/arch/efinix/shifter.ys
@@ -1,4 +1,4 @@
-read_verilog shifter.v
+read_verilog ../common/shifter.v
hierarchy -top top
proc
flatten
diff --git a/tests/arch/efinix/tribuf.ys b/tests/arch/efinix/tribuf.ys
index 2e2ab9e65..47904f2d5 100644
--- a/tests/arch/efinix/tribuf.ys
+++ b/tests/arch/efinix/tribuf.ys
@@ -1,4 +1,4 @@
-read_verilog tribuf.v
+read_verilog ../common/tribuf.v
hierarchy -top tristate
proc
tribuf
diff --git a/tests/arch/ice40/add_sub.v b/tests/arch/ice40/add_sub.v
deleted file mode 100644
index 177c32e30..000000000
--- a/tests/arch/ice40/add_sub.v
+++ /dev/null
@@ -1,13 +0,0 @@
-module top
-(
- input [3:0] x,
- input [3:0] y,
-
- output [3:0] A,
- output [3:0] B
- );
-
-assign A = x + y;
-assign B = x - y;
-
-endmodule
diff --git a/tests/arch/ice40/add_sub.ys b/tests/arch/ice40/add_sub.ys
index 4a998d98d..578ec0803 100644
--- a/tests/arch/ice40/add_sub.ys
+++ b/tests/arch/ice40/add_sub.ys
@@ -1,4 +1,4 @@
-read_verilog add_sub.v
+read_verilog ../common/add_sub.v
hierarchy -top top
equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
diff --git a/tests/arch/ice40/adffs.v b/tests/arch/ice40/adffs.v
deleted file mode 100644
index 09dc36001..000000000
--- a/tests/arch/ice40/adffs.v
+++ /dev/null
@@ -1,87 +0,0 @@
-module adff
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, posedge clr )
- if ( clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module adffn
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, negedge clr )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module dffs
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, posedge pre )
- if ( pre )
- q <= 1'b1;
- else
- q <= d;
-endmodule
-
-module ndffnr
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( negedge clk, negedge pre )
- if ( !pre )
- q <= 1'b1;
- else
- q <= d;
-endmodule
-
-module top (
-input clk,
-input clr,
-input pre,
-input a,
-output b,b1,b2,b3
-);
-
-dffs u_dffs (
- .clk (clk ),
- .clr (clr),
- .pre (pre),
- .d (a ),
- .q (b )
- );
-
-ndffnr u_ndffnr (
- .clk (clk ),
- .clr (clr),
- .pre (pre),
- .d (a ),
- .q (b1 )
- );
-
-adff u_adff (
- .clk (clk ),
- .clr (clr),
- .d (a ),
- .q (b2 )
- );
-
-adffn u_adffn (
- .clk (clk ),
- .clr (clr),
- .d (a ),
- .q (b3 )
- );
-
-endmodule
diff --git a/tests/arch/ice40/adffs.ys b/tests/arch/ice40/adffs.ys
index 548060b66..e5dbabb43 100644
--- a/tests/arch/ice40/adffs.ys
+++ b/tests/arch/ice40/adffs.ys
@@ -1,11 +1,39 @@
-read_verilog adffs.v
+read_verilog ../common/adffs.v
+design -save read
+
+hierarchy -top adff
proc
-flatten
-equiv_opt -multiclock -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+equiv_opt -async2sync -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
-select -assert-count 1 t:SB_DFFNS
-select -assert-count 2 t:SB_DFFR
-select -assert-count 1 t:SB_DFFS
-select -assert-count 2 t:SB_LUT4
-select -assert-none t:SB_DFFNS t:SB_DFFR t:SB_DFFS t:SB_LUT4 %% t:* %D
+cd adff # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_DFFR
+select -assert-none t:SB_DFFR %% t:* %D
+
+design -load read
+hierarchy -top adffn
+proc
+equiv_opt -async2sync -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd adffn # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_DFFR
+select -assert-count 1 t:SB_LUT4
+select -assert-none t:SB_DFFR t:SB_LUT4 %% t:* %D
+
+design -load read
+hierarchy -top dffs
+proc
+equiv_opt -async2sync -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd dffs # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_DFFSS
+select -assert-none t:SB_DFFSS %% t:* %D
+
+design -load read
+hierarchy -top ndffnr
+proc
+equiv_opt -async2sync -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd ndffnr # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_DFFNSR
+select -assert-count 1 t:SB_LUT4
+select -assert-none t:SB_DFFNSR t:SB_LUT4 %% t:* %D
diff --git a/tests/arch/ice40/counter.v b/tests/arch/ice40/counter.v
deleted file mode 100644
index 52852f8ac..000000000
--- a/tests/arch/ice40/counter.v
+++ /dev/null
@@ -1,17 +0,0 @@
-module top (
-out,
-clk,
-reset
-);
- output [7:0] out;
- input clk, reset;
- reg [7:0] out;
-
- always @(posedge clk, posedge reset)
- if (reset) begin
- out <= 8'b0 ;
- end else
- out <= out + 1;
-
-
-endmodule
diff --git a/tests/arch/ice40/counter.ys b/tests/arch/ice40/counter.ys
index c65c21622..f112eb97d 100644
--- a/tests/arch/ice40/counter.ys
+++ b/tests/arch/ice40/counter.ys
@@ -1,4 +1,4 @@
-read_verilog counter.v
+read_verilog ../common/counter.v
hierarchy -top top
proc
flatten
diff --git a/tests/arch/ice40/dffs.v b/tests/arch/ice40/dffs.v
deleted file mode 100644
index d97840c43..000000000
--- a/tests/arch/ice40/dffs.v
+++ /dev/null
@@ -1,37 +0,0 @@
-module dff
- ( input d, clk, output reg q );
- always @( posedge clk )
- q <= d;
-endmodule
-
-module dffe
- ( input d, clk, en, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( en )
- q <= d;
-endmodule
-
-module top (
-input clk,
-input en,
-input a,
-output b,b1,
-);
-
-dff u_dff (
- .clk (clk ),
- .d (a ),
- .q (b )
- );
-
-dffe u_ndffe (
- .clk (clk ),
- .en (en),
- .d (a ),
- .q (b1 )
- );
-
-endmodule
diff --git a/tests/arch/ice40/dffs.ys b/tests/arch/ice40/dffs.ys
index ee7f884b1..b28a5a91f 100644
--- a/tests/arch/ice40/dffs.ys
+++ b/tests/arch/ice40/dffs.ys
@@ -1,10 +1,19 @@
-read_verilog dffs.v
-hierarchy -top top
+read_verilog ../common/dffs.v
+design -save read
+
+hierarchy -top dff
proc
-flatten
equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
+cd dff # Constrain all select calls below inside the top module
select -assert-count 1 t:SB_DFF
+select -assert-none t:SB_DFF %% t:* %D
+
+design -load read
+hierarchy -top dffe
+proc
+equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd dffe # Constrain all select calls below inside the top module
select -assert-count 1 t:SB_DFFE
-select -assert-none t:SB_DFF t:SB_DFFE %% t:* %D
+select -assert-none t:SB_DFFE %% t:* %D \ No newline at end of file
diff --git a/tests/arch/ice40/fsm.v b/tests/arch/ice40/fsm.v
deleted file mode 100644
index 0605bd102..000000000
--- a/tests/arch/ice40/fsm.v
+++ /dev/null
@@ -1,73 +0,0 @@
- module fsm (
- clock,
- reset,
- req_0,
- req_1,
- gnt_0,
- gnt_1
- );
- input clock,reset,req_0,req_1;
- output gnt_0,gnt_1;
- wire clock,reset,req_0,req_1;
- reg gnt_0,gnt_1;
-
- parameter SIZE = 3 ;
- parameter IDLE = 3'b001,GNT0 = 3'b010,GNT1 = 3'b100,GNT2 = 3'b101 ;
-
- reg [SIZE-1:0] state;
- reg [SIZE-1:0] next_state;
-
- always @ (posedge clock)
- begin : FSM
- if (reset == 1'b1) begin
- state <= #1 IDLE;
- gnt_0 <= 0;
- gnt_1 <= 0;
- end else
- case(state)
- IDLE : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- gnt_0 <= 1;
- end else if (req_1 == 1'b1) begin
- gnt_1 <= 1;
- state <= #1 GNT0;
- end else begin
- state <= #1 IDLE;
- end
- GNT0 : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- end else begin
- gnt_0 <= 0;
- state <= #1 IDLE;
- end
- GNT1 : if (req_1 == 1'b1) begin
- state <= #1 GNT2;
- gnt_1 <= req_0;
- end
- GNT2 : if (req_0 == 1'b1) begin
- state <= #1 GNT1;
- gnt_1 <= req_1;
- end
- default : state <= #1 IDLE;
- endcase
- end
-
- endmodule
-
- module top (
-input clk,
-input rst,
-input a,
-input b,
-output g0,
-output g1
-);
-
-fsm u_fsm ( .clock(clk),
- .reset(rst),
- .req_0(a),
- .req_1(b),
- .gnt_0(g0),
- .gnt_1(g1));
-
-endmodule
diff --git a/tests/arch/ice40/fsm.ys b/tests/arch/ice40/fsm.ys
index 4cc8629d6..5aacc6c73 100644
--- a/tests/arch/ice40/fsm.ys
+++ b/tests/arch/ice40/fsm.ys
@@ -1,10 +1,10 @@
-read_verilog fsm.v
-hierarchy -top top
+read_verilog ../common/fsm.v
+hierarchy -top fsm
proc
flatten
equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
+cd fsm # Constrain all select calls below inside the top module
select -assert-count 2 t:SB_DFFESR
select -assert-count 2 t:SB_DFFSR
diff --git a/tests/arch/ice40/latches.v b/tests/arch/ice40/latches.v
deleted file mode 100644
index 9dc43e4c2..000000000
--- a/tests/arch/ice40/latches.v
+++ /dev/null
@@ -1,58 +0,0 @@
-module latchp
- ( input d, clk, en, output reg q );
- always @*
- if ( en )
- q <= d;
-endmodule
-
-module latchn
- ( input d, clk, en, output reg q );
- always @*
- if ( !en )
- q <= d;
-endmodule
-
-module latchsr
- ( input d, clk, en, clr, pre, output reg q );
- always @*
- if ( clr )
- q <= 1'b0;
- else if ( pre )
- q <= 1'b1;
- else if ( en )
- q <= d;
-endmodule
-
-
-module top (
-input clk,
-input clr,
-input pre,
-input a,
-output b,b1,b2
-);
-
-
-latchp u_latchp (
- .en (clk ),
- .d (a ),
- .q (b )
- );
-
-
-latchn u_latchn (
- .en (clk ),
- .d (a ),
- .q (b1 )
- );
-
-
-latchsr u_latchsr (
- .en (clk ),
- .clr (clr),
- .pre (pre),
- .d (a ),
- .q (b2 )
- );
-
-endmodule
diff --git a/tests/arch/ice40/latches.ys b/tests/arch/ice40/latches.ys
index 708734e44..b06dd630b 100644
--- a/tests/arch/ice40/latches.ys
+++ b/tests/arch/ice40/latches.ys
@@ -1,12 +1,33 @@
-read_verilog latches.v
+read_verilog ../common/latches.v
+design -save read
+hierarchy -top latchp
proc
-flatten
# Can't run any sort of equivalence check because latches are blown to LUTs
-#equiv_opt -async2sync -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+synth_ice40
+cd latchp # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_LUT4
+
+select -assert-none t:SB_LUT4 %% t:* %D
+
+
+design -load read
+hierarchy -top latchn
+proc
+# Can't run any sort of equivalence check because latches are blown to LUTs
+synth_ice40
+cd latchn # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_LUT4
+
+select -assert-none t:SB_LUT4 %% t:* %D
+
-#design -load preopt
+design -load read
+hierarchy -top latchsr
+proc
+# Can't run any sort of equivalence check because latches are blown to LUTs
synth_ice40
-cd top
-select -assert-count 4 t:SB_LUT4
+cd latchsr # Constrain all select calls below inside the top module
+select -assert-count 2 t:SB_LUT4
+
select -assert-none t:SB_LUT4 %% t:* %D
diff --git a/tests/arch/ice40/logic.v b/tests/arch/ice40/logic.v
deleted file mode 100644
index e5343cae0..000000000
--- a/tests/arch/ice40/logic.v
+++ /dev/null
@@ -1,18 +0,0 @@
-module top
-(
- input [0:7] in,
- output B1,B2,B3,B4,B5,B6,B7,B8,B9,B10
- );
-
- assign B1 = in[0] & in[1];
- assign B2 = in[0] | in[1];
- assign B3 = in[0] ~& in[1];
- assign B4 = in[0] ~| in[1];
- assign B5 = in[0] ^ in[1];
- assign B6 = in[0] ~^ in[1];
- assign B7 = ~in[0];
- assign B8 = in[0];
- assign B9 = in[0:1] && in [2:3];
- assign B10 = in[0:1] || in [2:3];
-
-endmodule
diff --git a/tests/arch/ice40/logic.ys b/tests/arch/ice40/logic.ys
index fc5e5b1d8..7432f5b1f 100644
--- a/tests/arch/ice40/logic.ys
+++ b/tests/arch/ice40/logic.ys
@@ -1,4 +1,4 @@
-read_verilog logic.v
+read_verilog ../common/logic.v
hierarchy -top top
equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
diff --git a/tests/arch/ice40/mul.v b/tests/arch/ice40/mul.v
deleted file mode 100644
index d5b48b1d7..000000000
--- a/tests/arch/ice40/mul.v
+++ /dev/null
@@ -1,11 +0,0 @@
-module top
-(
- input [5:0] x,
- input [5:0] y,
-
- output [11:0] A,
- );
-
-assign A = x * y;
-
-endmodule
diff --git a/tests/arch/ice40/mul.ys b/tests/arch/ice40/mul.ys
index 8a0822a84..9891b77d6 100644
--- a/tests/arch/ice40/mul.ys
+++ b/tests/arch/ice40/mul.ys
@@ -1,4 +1,4 @@
-read_verilog mul.v
+read_verilog ../common/mul.v
hierarchy -top top
equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 -dsp # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
diff --git a/tests/arch/ice40/mux.v b/tests/arch/ice40/mux.v
deleted file mode 100644
index 0814b733e..000000000
--- a/tests/arch/ice40/mux.v
+++ /dev/null
@@ -1,100 +0,0 @@
-module mux2 (S,A,B,Y);
- input S;
- input A,B;
- output reg Y;
-
- always @(*)
- Y = (S)? B : A;
-endmodule
-
-module mux4 ( S, D, Y );
-
-input[1:0] S;
-input[3:0] D;
-output Y;
-
-reg Y;
-wire[1:0] S;
-wire[3:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- endcase
-end
-
-endmodule
-
-module mux8 ( S, D, Y );
-
-input[2:0] S;
-input[7:0] D;
-output Y;
-
-reg Y;
-wire[2:0] S;
-wire[7:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- 4 : Y = D[4];
- 5 : Y = D[5];
- 6 : Y = D[6];
- 7 : Y = D[7];
- endcase
-end
-
-endmodule
-
-module mux16 (D, S, Y);
- input [15:0] D;
- input [3:0] S;
- output Y;
-
-assign Y = D[S];
-
-endmodule
-
-
-module top (
-input [3:0] S,
-input [15:0] D,
-output M2,M4,M8,M16
-);
-
-mux2 u_mux2 (
- .S (S[0]),
- .A (D[0]),
- .B (D[1]),
- .Y (M2)
- );
-
-
-mux4 u_mux4 (
- .S (S[1:0]),
- .D (D[3:0]),
- .Y (M4)
- );
-
-mux8 u_mux8 (
- .S (S[2:0]),
- .D (D[7:0]),
- .Y (M8)
- );
-
-mux16 u_mux16 (
- .S (S[3:0]),
- .D (D[15:0]),
- .Y (M16)
- );
-
-endmodule
diff --git a/tests/arch/ice40/mux.ys b/tests/arch/ice40/mux.ys
index 182b49499..99822391d 100644
--- a/tests/arch/ice40/mux.ys
+++ b/tests/arch/ice40/mux.ys
@@ -1,8 +1,40 @@
-read_verilog mux.v
+read_verilog ../common/mux.v
+design -save read
+
+hierarchy -top mux2
proc
-flatten
equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
-select -assert-count 19 t:SB_LUT4
+cd mux2 # Constrain all select calls below inside the top module
+select -assert-count 1 t:SB_LUT4
+select -assert-none t:SB_LUT4 %% t:* %D
+
+design -load read
+hierarchy -top mux4
+proc
+equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd mux4 # Constrain all select calls below inside the top module
+select -assert-count 2 t:SB_LUT4
+
+select -assert-none t:SB_LUT4 %% t:* %D
+
+design -load read
+hierarchy -top mux8
+proc
+equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd mux8 # Constrain all select calls below inside the top module
+select -assert-count 5 t:SB_LUT4
+
+select -assert-none t:SB_LUT4 %% t:* %D
+
+design -load read
+hierarchy -top mux16
+proc
+equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd mux16 # Constrain all select calls below inside the top module
+select -assert-count 11 t:SB_LUT4
+
select -assert-none t:SB_LUT4 %% t:* %D
diff --git a/tests/arch/ice40/shifter.v b/tests/arch/ice40/shifter.v
deleted file mode 100644
index c55632552..000000000
--- a/tests/arch/ice40/shifter.v
+++ /dev/null
@@ -1,22 +0,0 @@
-module top (
-out,
-clk,
-in
-);
- output [7:0] out;
- input signed clk, in;
- reg signed [7:0] out = 0;
-
- always @(posedge clk)
- begin
-`ifndef BUG
- out <= out >> 1;
- out[7] <= in;
-`else
-
- out <= out << 1;
- out[7] <= in;
-`endif
- end
-
-endmodule
diff --git a/tests/arch/ice40/shifter.ys b/tests/arch/ice40/shifter.ys
index 47d95d298..08ea64f3d 100644
--- a/tests/arch/ice40/shifter.ys
+++ b/tests/arch/ice40/shifter.ys
@@ -1,4 +1,4 @@
-read_verilog shifter.v
+read_verilog ../common/shifter.v
hierarchy -top top
proc
flatten
diff --git a/tests/arch/ice40/tribuf.v b/tests/arch/ice40/tribuf.v
deleted file mode 100644
index 870a02584..000000000
--- a/tests/arch/ice40/tribuf.v
+++ /dev/null
@@ -1,23 +0,0 @@
-module tristate (en, i, o);
- input en;
- input i;
- output o;
-
- assign o = en ? i : 1'bz;
-
-endmodule
-
-
-module top (
-input en,
-input a,
-output b
-);
-
-tristate u_tri (
- .en (en ),
- .i (a ),
- .o (b )
- );
-
-endmodule
diff --git a/tests/arch/ice40/tribuf.ys b/tests/arch/ice40/tribuf.ys
index d1e1b3108..10cded954 100644
--- a/tests/arch/ice40/tribuf.ys
+++ b/tests/arch/ice40/tribuf.ys
@@ -1,9 +1,11 @@
-read_verilog tribuf.v
-hierarchy -top top
+read_verilog ../common/tribuf.v
+hierarchy -top tristate
proc
+tribuf
flatten
+synth
equiv_opt -assert -map +/ice40/cells_sim.v -map +/simcells.v synth_ice40 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
+cd tristate # Constrain all select calls below inside the top module
select -assert-count 1 t:$_TBUF_
select -assert-none t:$_TBUF_ %% t:* %D
diff --git a/tests/arch/xilinx/add_sub.v b/tests/arch/xilinx/add_sub.v
deleted file mode 100644
index 177c32e30..000000000
--- a/tests/arch/xilinx/add_sub.v
+++ /dev/null
@@ -1,13 +0,0 @@
-module top
-(
- input [3:0] x,
- input [3:0] y,
-
- output [3:0] A,
- output [3:0] B
- );
-
-assign A = x + y;
-assign B = x - y;
-
-endmodule
diff --git a/tests/arch/xilinx/add_sub.ys b/tests/arch/xilinx/add_sub.ys
index f06e7fa01..9dbddce47 100644
--- a/tests/arch/xilinx/add_sub.ys
+++ b/tests/arch/xilinx/add_sub.ys
@@ -1,4 +1,4 @@
-read_verilog add_sub.v
+read_verilog ../common/add_sub.v
hierarchy -top top
proc
equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx # equivalency check
diff --git a/tests/arch/xilinx/adffs.v b/tests/arch/xilinx/adffs.v
deleted file mode 100644
index 223b52d21..000000000
--- a/tests/arch/xilinx/adffs.v
+++ /dev/null
@@ -1,47 +0,0 @@
-module adff
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, posedge clr )
- if ( clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module adffn
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, negedge clr )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module dffs
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( pre )
- q <= 1'b1;
- else
- q <= d;
-endmodule
-
-module ndffnr
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( negedge clk )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
diff --git a/tests/arch/xilinx/adffs.ys b/tests/arch/xilinx/adffs.ys
index 1923b9802..12c34415e 100644
--- a/tests/arch/xilinx/adffs.ys
+++ b/tests/arch/xilinx/adffs.ys
@@ -1,4 +1,4 @@
-read_verilog adffs.v
+read_verilog ../common/adffs.v
design -save read
hierarchy -top adff
diff --git a/tests/arch/xilinx/counter.v b/tests/arch/xilinx/counter.v
deleted file mode 100644
index 52852f8ac..000000000
--- a/tests/arch/xilinx/counter.v
+++ /dev/null
@@ -1,17 +0,0 @@
-module top (
-out,
-clk,
-reset
-);
- output [7:0] out;
- input clk, reset;
- reg [7:0] out;
-
- always @(posedge clk, posedge reset)
- if (reset) begin
- out <= 8'b0 ;
- end else
- out <= out + 1;
-
-
-endmodule
diff --git a/tests/arch/xilinx/counter.ys b/tests/arch/xilinx/counter.ys
index 459541656..57b645d19 100644
--- a/tests/arch/xilinx/counter.ys
+++ b/tests/arch/xilinx/counter.ys
@@ -1,4 +1,4 @@
-read_verilog counter.v
+read_verilog ../common/counter.v
hierarchy -top top
proc
flatten
diff --git a/tests/arch/xilinx/dffs.v b/tests/arch/xilinx/dffs.v
deleted file mode 100644
index 3418787c9..000000000
--- a/tests/arch/xilinx/dffs.v
+++ /dev/null
@@ -1,15 +0,0 @@
-module dff
- ( input d, clk, output reg q );
- always @( posedge clk )
- q <= d;
-endmodule
-
-module dffe
- ( input d, clk, en, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( en )
- q <= d;
-endmodule
diff --git a/tests/arch/xilinx/dffs.ys b/tests/arch/xilinx/dffs.ys
index f1716dabb..0bba4858f 100644
--- a/tests/arch/xilinx/dffs.ys
+++ b/tests/arch/xilinx/dffs.ys
@@ -1,4 +1,4 @@
-read_verilog dffs.v
+read_verilog ../common/dffs.v
design -save read
hierarchy -top dff
diff --git a/tests/arch/xilinx/fsm.v b/tests/arch/xilinx/fsm.v
deleted file mode 100644
index 368fbaace..000000000
--- a/tests/arch/xilinx/fsm.v
+++ /dev/null
@@ -1,55 +0,0 @@
- module fsm (
- clock,
- reset,
- req_0,
- req_1,
- gnt_0,
- gnt_1
- );
- input clock,reset,req_0,req_1;
- output gnt_0,gnt_1;
- wire clock,reset,req_0,req_1;
- reg gnt_0,gnt_1;
-
- parameter SIZE = 3 ;
- parameter IDLE = 3'b001,GNT0 = 3'b010,GNT1 = 3'b100,GNT2 = 3'b101 ;
-
- reg [SIZE-1:0] state;
- reg [SIZE-1:0] next_state;
-
- always @ (posedge clock)
- begin : FSM
- if (reset == 1'b1) begin
- state <= #1 IDLE;
- gnt_0 <= 0;
- gnt_1 <= 0;
- end else
- case(state)
- IDLE : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- gnt_0 <= 1;
- end else if (req_1 == 1'b1) begin
- gnt_1 <= 1;
- state <= #1 GNT0;
- end else begin
- state <= #1 IDLE;
- end
- GNT0 : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- end else begin
- gnt_0 <= 0;
- state <= #1 IDLE;
- end
- GNT1 : if (req_1 == 1'b1) begin
- state <= #1 GNT2;
- gnt_1 <= req_0;
- end
- GNT2 : if (req_0 == 1'b1) begin
- state <= #1 GNT1;
- gnt_1 <= req_1;
- end
- default : state <= #1 IDLE;
- endcase
- end
-
-endmodule
diff --git a/tests/arch/xilinx/fsm.ys b/tests/arch/xilinx/fsm.ys
index a9e94c2c0..d2b481421 100644
--- a/tests/arch/xilinx/fsm.ys
+++ b/tests/arch/xilinx/fsm.ys
@@ -1,4 +1,4 @@
-read_verilog fsm.v
+read_verilog ../common/fsm.v
hierarchy -top fsm
proc
flatten
diff --git a/tests/arch/xilinx/latches.v b/tests/arch/xilinx/latches.v
deleted file mode 100644
index adb5d5319..000000000
--- a/tests/arch/xilinx/latches.v
+++ /dev/null
@@ -1,24 +0,0 @@
-module latchp
- ( input d, clk, en, output reg q );
- always @*
- if ( en )
- q <= d;
-endmodule
-
-module latchn
- ( input d, clk, en, output reg q );
- always @*
- if ( !en )
- q <= d;
-endmodule
-
-module latchsr
- ( input d, clk, en, clr, pre, output reg q );
- always @*
- if ( clr )
- q <= 1'b0;
- else if ( pre )
- q <= 1'b1;
- else if ( en )
- q <= d;
-endmodule
diff --git a/tests/arch/xilinx/latches.ys b/tests/arch/xilinx/latches.ys
index 3eb550a42..fe7887e8d 100644
--- a/tests/arch/xilinx/latches.ys
+++ b/tests/arch/xilinx/latches.ys
@@ -1,4 +1,4 @@
-read_verilog latches.v
+read_verilog ../common/latches.v
design -save read
hierarchy -top latchp
diff --git a/tests/arch/xilinx/logic.v b/tests/arch/xilinx/logic.v
deleted file mode 100644
index e5343cae0..000000000
--- a/tests/arch/xilinx/logic.v
+++ /dev/null
@@ -1,18 +0,0 @@
-module top
-(
- input [0:7] in,
- output B1,B2,B3,B4,B5,B6,B7,B8,B9,B10
- );
-
- assign B1 = in[0] & in[1];
- assign B2 = in[0] | in[1];
- assign B3 = in[0] ~& in[1];
- assign B4 = in[0] ~| in[1];
- assign B5 = in[0] ^ in[1];
- assign B6 = in[0] ~^ in[1];
- assign B7 = ~in[0];
- assign B8 = in[0];
- assign B9 = in[0:1] && in [2:3];
- assign B10 = in[0:1] || in [2:3];
-
-endmodule
diff --git a/tests/arch/xilinx/logic.ys b/tests/arch/xilinx/logic.ys
index 9ae5993aa..c0f6da302 100644
--- a/tests/arch/xilinx/logic.ys
+++ b/tests/arch/xilinx/logic.ys
@@ -1,4 +1,4 @@
-read_verilog logic.v
+read_verilog ../common/logic.v
hierarchy -top top
proc
equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx # equivalency check
diff --git a/tests/arch/xilinx/mul.v b/tests/arch/xilinx/mul.v
deleted file mode 100644
index d5b48b1d7..000000000
--- a/tests/arch/xilinx/mul.v
+++ /dev/null
@@ -1,11 +0,0 @@
-module top
-(
- input [5:0] x,
- input [5:0] y,
-
- output [11:0] A,
- );
-
-assign A = x * y;
-
-endmodule
diff --git a/tests/arch/xilinx/mul.ys b/tests/arch/xilinx/mul.ys
index 66a06efdc..d76814966 100644
--- a/tests/arch/xilinx/mul.ys
+++ b/tests/arch/xilinx/mul.ys
@@ -1,4 +1,4 @@
-read_verilog mul.v
+read_verilog ../common/mul.v
hierarchy -top top
proc
equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx # equivalency check
diff --git a/tests/arch/xilinx/mux.v b/tests/arch/xilinx/mux.v
deleted file mode 100644
index 27bc0bf0b..000000000
--- a/tests/arch/xilinx/mux.v
+++ /dev/null
@@ -1,65 +0,0 @@
-module mux2 (S,A,B,Y);
- input S;
- input A,B;
- output reg Y;
-
- always @(*)
- Y = (S)? B : A;
-endmodule
-
-module mux4 ( S, D, Y );
-
-input[1:0] S;
-input[3:0] D;
-output Y;
-
-reg Y;
-wire[1:0] S;
-wire[3:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- endcase
-end
-
-endmodule
-
-module mux8 ( S, D, Y );
-
-input[2:0] S;
-input[7:0] D;
-output Y;
-
-reg Y;
-wire[2:0] S;
-wire[7:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- 4 : Y = D[4];
- 5 : Y = D[5];
- 6 : Y = D[6];
- 7 : Y = D[7];
- endcase
-end
-
-endmodule
-
-module mux16 (D, S, Y);
- input [15:0] D;
- input [3:0] S;
- output Y;
-
-assign Y = D[S];
-
-endmodule
diff --git a/tests/arch/xilinx/mux.ys b/tests/arch/xilinx/mux.ys
index 420dece4e..821d0fab7 100644
--- a/tests/arch/xilinx/mux.ys
+++ b/tests/arch/xilinx/mux.ys
@@ -1,4 +1,4 @@
-read_verilog mux.v
+read_verilog ../common/mux.v
design -save read
hierarchy -top mux2
diff --git a/tests/arch/xilinx/shifter.v b/tests/arch/xilinx/shifter.v
deleted file mode 100644
index 04ae49d83..000000000
--- a/tests/arch/xilinx/shifter.v
+++ /dev/null
@@ -1,16 +0,0 @@
-module top (
-out,
-clk,
-in
-);
- output [7:0] out;
- input signed clk, in;
- reg signed [7:0] out = 0;
-
- always @(posedge clk)
- begin
- out <= out >> 1;
- out[7] <= in;
- end
-
-endmodule
diff --git a/tests/arch/xilinx/shifter.ys b/tests/arch/xilinx/shifter.ys
index 84e16f41e..455437f18 100644
--- a/tests/arch/xilinx/shifter.ys
+++ b/tests/arch/xilinx/shifter.ys
@@ -1,4 +1,4 @@
-read_verilog shifter.v
+read_verilog ../common/shifter.v
hierarchy -top top
proc
flatten
diff --git a/tests/arch/xilinx/tribuf.v b/tests/arch/xilinx/tribuf.v
deleted file mode 100644
index c64468253..000000000
--- a/tests/arch/xilinx/tribuf.v
+++ /dev/null
@@ -1,8 +0,0 @@
-module tristate (en, i, o);
- input en;
- input i;
- output reg o;
-
- always @(en or i)
- o <= (en)? i : 1'bZ;
-endmodule
diff --git a/tests/arch/xilinx/tribuf.ys b/tests/arch/xilinx/tribuf.ys
index c9cfb8546..4697703ca 100644
--- a/tests/arch/xilinx/tribuf.ys
+++ b/tests/arch/xilinx/tribuf.ys
@@ -1,4 +1,4 @@
-read_verilog tribuf.v
+read_verilog ../common/tribuf.v
hierarchy -top tristate
proc
tribuf