aboutsummaryrefslogtreecommitdiffstats
path: root/generic/synth/cells_map.v
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2019-04-01 18:02:08 +0100
committerDavid Shah <dave@ds0.me>2019-04-02 15:30:01 +0100
commit99c3713293f4cc56ab933b952ec522ade7526eb8 (patch)
treee5c10f69649c5f64e20d0ede657b6937bbc4846d /generic/synth/cells_map.v
parentf88ddf85b296e486731a79ec2c6fc698169a0657 (diff)
downloadnextpnr-99c3713293f4cc56ab933b952ec522ade7526eb8.tar.gz
nextpnr-99c3713293f4cc56ab933b952ec522ade7526eb8.tar.bz2
nextpnr-99c3713293f4cc56ab933b952ec522ade7526eb8.zip
generic: Add synth_generic.tcl
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'generic/synth/cells_map.v')
-rw-r--r--generic/synth/cells_map.v4
1 files changed, 1 insertions, 3 deletions
diff --git a/generic/synth/cells_map.v b/generic/synth/cells_map.v
index adbccb52..a6027534 100644
--- a/generic/synth/cells_map.v
+++ b/generic/synth/cells_map.v
@@ -7,6 +7,4 @@ module \$lut (A, Y);
LUT #(.K(`LUT_K), .INIT(LUT)) _TECHMAP_REPLACE_ (.I(A), .Q(Y));
endmodule
-
-module \$_DFF_N_ (input D, C, output Q); DFF _TECHMAP_REPLACE_ (.D(D), .Q(Q), .CLK(C)); endmodule
-module \$_DFF_P_ (input D, C, output Q); DFF _TECHMAP_REPLACE_ (.D(D), .Q(Q), .CLK(!C)); endmodule
+module \$_DFF_P_ (input D, C, output Q); DFF _TECHMAP_REPLACE_ (.D(D), .Q(Q), .CLK(C)); endmodule