From 99c3713293f4cc56ab933b952ec522ade7526eb8 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 1 Apr 2019 18:02:08 +0100 Subject: generic: Add synth_generic.tcl Signed-off-by: David Shah --- generic/synth/cells_map.v | 4 +--- 1 file changed, 1 insertion(+), 3 deletions(-) (limited to 'generic/synth/cells_map.v') diff --git a/generic/synth/cells_map.v b/generic/synth/cells_map.v index adbccb52..a6027534 100644 --- a/generic/synth/cells_map.v +++ b/generic/synth/cells_map.v @@ -7,6 +7,4 @@ module \$lut (A, Y); LUT #(.K(`LUT_K), .INIT(LUT)) _TECHMAP_REPLACE_ (.I(A), .Q(Y)); endmodule - -module \$_DFF_N_ (input D, C, output Q); DFF _TECHMAP_REPLACE_ (.D(D), .Q(Q), .CLK(C)); endmodule -module \$_DFF_P_ (input D, C, output Q); DFF _TECHMAP_REPLACE_ (.D(D), .Q(Q), .CLK(!C)); endmodule +module \$_DFF_P_ (input D, C, output Q); DFF _TECHMAP_REPLACE_ (.D(D), .Q(Q), .CLK(C)); endmodule -- cgit v1.2.3