aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/blackbox01/blackbox1_adder.vhdl
blob: 579c5e70d23f4ace6b024be542b8577ce1fb9a6d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity blackbox1_adder is
  port (a, b : in std_logic_vector(7 downto 0);
        r : out std_logic_vector(7 downto 0));
end blackbox1_adder;

architecture behav of blackbox1_adder is
begin
  r <= std_logic_vector(unsigned(a) + unsigned(b));
end behav;