aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/blackbox01/blackbox1_adder.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/blackbox01/blackbox1_adder.vhdl')
-rw-r--r--testsuite/synth/blackbox01/blackbox1_adder.vhdl13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/synth/blackbox01/blackbox1_adder.vhdl b/testsuite/synth/blackbox01/blackbox1_adder.vhdl
new file mode 100644
index 000000000..579c5e70d
--- /dev/null
+++ b/testsuite/synth/blackbox01/blackbox1_adder.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity blackbox1_adder is
+ port (a, b : in std_logic_vector(7 downto 0);
+ r : out std_logic_vector(7 downto 0));
+end blackbox1_adder;
+
+architecture behav of blackbox1_adder is
+begin
+ r <= std_logic_vector(unsigned(a) + unsigned(b));
+end behav;