aboutsummaryrefslogtreecommitdiffstats
path: root/doc/using/UART_srcs/capitalisation/makefile
blob: ad8a01b04f4318b1ead810b5ac4617df179b2352 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
all:
	rm -rf work
	mkdir work
		
	ghdl -a  --work=work --workdir=work top_capitalisation.vhd	
	ghdl -a  --work=work --workdir=work capitalisation.vhd	
	ghdl -a  --work=work --workdir=work ../rx/UART_RX_8N1.vhd
	ghdl -a  --work=work --workdir=work ../tx/UART_TX_8N1.vhd
	ghdl -a  --work=work --workdir=work capitalisation.vhd
			
	ghdl -a  --work=work --workdir=work tb_capitalisation.vhd 
	ghdl -e  --workdir=work -Pwork tb_capitalisation 
	ghdl -r tb_capitalisation --wave=tbench.ghw --stop-time=700us 


view:
	gtkwave tbench.ghw a.gtkw