aboutsummaryrefslogtreecommitdiffstats
path: root/doc/using/UART_srcs/capitalisation/makefile
diff options
context:
space:
mode:
author1138-4EB <1138-4EB@users.noreply.github.com>2017-03-02 00:24:57 +0100
committertgingold <tgingold@users.noreply.github.com>2017-12-10 12:02:05 +0100
commit28d9ddf0e2aff8fe6937949f54285cae9ee478a7 (patch)
tree0b91e072680c96493037360f7c05f1568912a99c /doc/using/UART_srcs/capitalisation/makefile
parent13a5256846f946d646a21faf221001f9ba15044d (diff)
downloadghdl-28d9ddf0e2aff8fe6937949f54285cae9ee478a7.tar.gz
ghdl-28d9ddf0e2aff8fe6937949f54285cae9ee478a7.tar.bz2
ghdl-28d9ddf0e2aff8fe6937949f54285cae9ee478a7.zip
Add raw sources of tutorial 'How to simulate an UART VHDL code with ghdl}' by 'René Doß'
Diffstat (limited to 'doc/using/UART_srcs/capitalisation/makefile')
-rw-r--r--doc/using/UART_srcs/capitalisation/makefile18
1 files changed, 18 insertions, 0 deletions
diff --git a/doc/using/UART_srcs/capitalisation/makefile b/doc/using/UART_srcs/capitalisation/makefile
new file mode 100644
index 000000000..ad8a01b04
--- /dev/null
+++ b/doc/using/UART_srcs/capitalisation/makefile
@@ -0,0 +1,18 @@
+all:
+ rm -rf work
+ mkdir work
+
+ ghdl -a --work=work --workdir=work top_capitalisation.vhd
+ ghdl -a --work=work --workdir=work capitalisation.vhd
+ ghdl -a --work=work --workdir=work ../rx/UART_RX_8N1.vhd
+ ghdl -a --work=work --workdir=work ../tx/UART_TX_8N1.vhd
+ ghdl -a --work=work --workdir=work capitalisation.vhd
+
+ ghdl -a --work=work --workdir=work tb_capitalisation.vhd
+ ghdl -e --workdir=work -Pwork tb_capitalisation
+ ghdl -r tb_capitalisation --wave=tbench.ghw --stop-time=700us
+
+
+view:
+ gtkwave tbench.ghw a.gtkw
+