diff options
Diffstat (limited to 'doc/using/UART_srcs/capitalisation/makefile')
-rw-r--r-- | doc/using/UART_srcs/capitalisation/makefile | 18 |
1 files changed, 18 insertions, 0 deletions
diff --git a/doc/using/UART_srcs/capitalisation/makefile b/doc/using/UART_srcs/capitalisation/makefile new file mode 100644 index 000000000..ad8a01b04 --- /dev/null +++ b/doc/using/UART_srcs/capitalisation/makefile @@ -0,0 +1,18 @@ +all: + rm -rf work + mkdir work + + ghdl -a --work=work --workdir=work top_capitalisation.vhd + ghdl -a --work=work --workdir=work capitalisation.vhd + ghdl -a --work=work --workdir=work ../rx/UART_RX_8N1.vhd + ghdl -a --work=work --workdir=work ../tx/UART_TX_8N1.vhd + ghdl -a --work=work --workdir=work capitalisation.vhd + + ghdl -a --work=work --workdir=work tb_capitalisation.vhd + ghdl -e --workdir=work -Pwork tb_capitalisation + ghdl -r tb_capitalisation --wave=tbench.ghw --stop-time=700us + + +view: + gtkwave tbench.ghw a.gtkw + |