aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl
Commit message (Expand)AuthorAgeFilesLines
* vhdl-evaluation.adb: handle iir_kind_aggregate in build_constant. Fix #543Tristan Gingold2021-09-181-0/+11
* vhdl-parse.adb: minor reformattingTristan Gingold2021-09-181-1/+2
* trans-chap8: fix iteration on an enumeration type with only one literal.Tristan Gingold2021-09-181-3/+9
* trans-chap8.adb: refactoring and clean-up. For #1514Tristan Gingold2021-09-182-46/+12
* vhdl-sem_names(sem_parenthesis_name): minor refactoringTristan Gingold2021-09-181-13/+9
* vhdl-sem_names(sem_parenthesis_name): handle indexing of delayed attribute.Tristan Gingold2021-09-181-2/+6
* vhdl-evaluation.adb: fix warningTristan Gingold2021-09-171-3/+0
* vhdl-evaluation: implement to_string for real with format. Fix #874Tristan Gingold2021-09-171-23/+69
* vhdl-utils: minor renaming for homogeneityTristan Gingold2021-09-162-2/+2
* trans-chap4: handle unbounded aggregate initial value to unbounded signal.Tristan Gingold2021-09-161-1/+30
* Fixed some typos (#1868)Patrick Lehmann2021-09-1610-21/+21
* vhdl: move Get_Source_Identifier to vhdl-utilsTristan Gingold2021-09-152-0/+25
* vhdl-sem_expr.adb: adjust aggregate element type extraction. For #737Tristan Gingold2021-09-141-2/+7
* trans-chap7: improve handling of vector in aggregates. Fix #1493Tristan Gingold2021-09-141-32/+58
* trans-chap3: improve commentTristan Gingold2021-09-141-4/+4
* ortho: for slices, get element size from the result typeTristan Gingold2021-09-142-5/+11
* trans-chap7: improve handling of vector in aggregates. Fix #1453Tristan Gingold2021-09-121-23/+27
* trans-chap8: fix crash for slice in target aggregate. Fix #786Tristan Gingold2021-09-121-0/+4
* vhdl-sem_assocs: add a check to avoid a crash on an error. Fix #873Tristan Gingold2021-09-121-0/+4
* vhdl-canon: recurse for default block configuration of a vunit.Tristan Gingold2021-09-121-12/+23
* vhdl-configuration: also consider vunits to find top entity.Tristan Gingold2021-09-113-37/+64
* vhdl-configuration: also consider units instantiated in vunit. Fix #1860Tristan Gingold2021-09-111-46/+64
* trans-chap7: improve support of aggregate. Fix #1843Tristan Gingold2021-09-101-10/+29
* vhdl: allow constants in vunit declarations. Fix #1856Tristan Gingold2021-09-081-0/+1
* vhdl-parse.adb: adjust error message. Fix #1485Tristan Gingold2021-09-071-1/+1
* trans-chap7: Handle unbounded elements in Translate_ConcatenationTristan Gingold2021-09-071-27/+98
* trans-chap3: add a stride parameter to index_array. For #1831Tristan Gingold2021-09-072-18/+21
* vhdl/translate: adjust slice names for unbounded arrays. Fir #1836Tristan Gingold2021-09-033-4/+25
* vhdl-scanner.adb: add commentsTristan Gingold2021-09-031-0/+6
* vhdl,psl: abort is now identical to async_abort. For #1654Tristan Gingold2021-09-021-3/+2
* trans-chap9.adb: handle async_abort, sync_abort. Fix #1654Tristan Gingold2021-08-303-145/+233
* vhdl and psl: parse sync_abort and async_abort. For #1654Tristan Gingold2021-08-3011-117/+222
* vhdl-canon: detect PSL assertion that cannot fail. For #1832Tristan Gingold2021-08-291-2/+12
* vhdl: handle foreign units in libraries and configurationTristan Gingold2021-08-282-23/+37
* vhdl-parse: support for-generate in vunits. Fix #1850Tristan Gingold2021-08-271-2/+10
* PSL: handle inf in star repeat sequence. Fix #1832Tristan Gingold2021-08-262-4/+16
* vhdl-evaluation: check integer evaluations fit in base type. Fix #1834Tristan Gingold2021-08-262-11/+37
* vhdl-sem_types.adb: refine conditions for resolution functions.Tristan Gingold2021-08-251-3/+7
* vhdl-parse.adb: improve error recovery. For #1837Tristan Gingold2021-08-241-0/+2
* vhdl: remove iir_kind_anonymous_signal_declaration (now unused)Tristan Gingold2021-08-2418-444/+198
* vhdl-sem_specs: avoid ownership issue on default map aspect.Tristan Gingold2021-08-241-1/+4
* Rework inertial associations.Tristan Gingold2021-08-238-46/+228
* trans-chap3: handle (ignore) use clauses in protected types. Fix #1833Tristan Gingold2021-08-141-1/+2
* vhdl: introduce iir_kind_association_element_by_nameTristan Gingold2021-08-0616-389/+478
* trans-chap7: handle strings in static array. Fix #1637Tristan Gingold2021-08-061-2/+2
* vhdl-sem_expr.adb: check matching subtype of array aggregate elements.Tristan Gingold2021-08-061-31/+67
* trans-chap3: do not create same range_var for enumeration subtype.Tristan Gingold2021-08-061-27/+41
* vhdl: adjust ownership of agrgegate element subtypes. Fix #1419Tristan Gingold2021-08-052-14/+31
* vhdl-sem_expr: add commentsTristan Gingold2021-08-041-0/+6
* vhdl-sem_expr: check subtype constraint of record aggregate elements.Tristan Gingold2021-08-041-1/+2