aboutsummaryrefslogtreecommitdiffstats
path: root/src/synth/synth-ieee-numeric_std.ads
Commit message (Expand)AuthorAgeFilesLines
* update license headersumarcor2021-02-051-5/+3
* synth: handle static resize. For #1460Tristan Gingold2020-09-201-0/+4
* synth: add support for static numeric_std division.Tristan Gingold2020-07-301-0/+4
* synth: move static comparison functions to synth.ieee.numericTristan Gingold2020-05-171-0/+11
* synth: handle static shift in synth.ieee.numeric_std.Tristan Gingold2020-05-171-0/+6
* synth-ieee-numeric_std: also use memtyp for negation.Tristan Gingold2020-05-161-2/+2
* synth: use memtyp for synth-ieee-numeric_std, add more signed mul.Tristan Gingold2020-05-161-18/+16
* synth: handle static calls to signed numeric_std + -. Fix #1313Tristan Gingold2020-05-161-3/+6
* synth: implement static sub_sgn_int. Fix #1265Tristan Gingold2020-04-261-0/+2
* synth-static_oper: handle mul uns nat. (#1200)bwimm2020-04-071-0/+2
* synth-static_oper: handle mul nat uns. Fix #1179Tristan Gingold2020-04-011-0/+2
* synth: handle static sub uns/nat.Tristan Gingold2020-03-131-0/+3
* synth: handle static "-" for unsigned. For of #1087Tristan Gingold2020-01-131-0/+2
* synth: add static neg for signed.Tristan Gingold2019-12-031-0/+2
* synth: handle static mul sgn sgn.Tristan Gingold2019-11-161-0/+2
* synth: handle static mul uns uns. Fix bit order for add.Tristan Gingold2019-11-161-0/+4
* synth: handle static add uns nat.Tristan Gingold2019-11-161-0/+2
* synth: handle static add sgn int.Tristan Gingold2019-11-161-0/+5
* synth: handle static unsigned/unsigned add.Tristan Gingold2019-11-131-0/+25