aboutsummaryrefslogtreecommitdiffstats
path: root/src/synth/synth-ieee-numeric_std.ads
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-12-03 07:15:32 +0100
committerTristan Gingold <tgingold@free.fr>2019-12-03 07:15:32 +0100
commitd3f43030f21cc5a983bf23697d7c5c311e45f9b1 (patch)
tree7a7512b5b23d4d22d6875bf6d1c20437b75f2de5 /src/synth/synth-ieee-numeric_std.ads
parente6a643ea1db47dc5fcf3893a81b3193869edbc38 (diff)
downloadghdl-d3f43030f21cc5a983bf23697d7c5c311e45f9b1.tar.gz
ghdl-d3f43030f21cc5a983bf23697d7c5c311e45f9b1.tar.bz2
ghdl-d3f43030f21cc5a983bf23697d7c5c311e45f9b1.zip
synth: add static neg for signed.
Diffstat (limited to 'src/synth/synth-ieee-numeric_std.ads')
-rw-r--r--src/synth/synth-ieee-numeric_std.ads2
1 files changed, 2 insertions, 0 deletions
diff --git a/src/synth/synth-ieee-numeric_std.ads b/src/synth/synth-ieee-numeric_std.ads
index 79ffd6a2f..1d8dacd7b 100644
--- a/src/synth/synth-ieee-numeric_std.ads
+++ b/src/synth/synth-ieee-numeric_std.ads
@@ -25,6 +25,8 @@ with Synth.Ieee.Std_Logic_1164; use Synth.Ieee.Std_Logic_1164;
package Synth.Ieee.Numeric_Std is
-- Reminder: vectors elements are from left to right.
+ function Neg_Sgn (V : Std_Logic_Vector) return Std_Logic_Vector;
+
function Add_Uns_Uns (L, R : Std_Logic_Vector) return Std_Logic_Vector;
function Add_Sgn_Int (L : Std_Logic_Vector; R : Int64)