aboutsummaryrefslogtreecommitdiffstats
path: root/src/synth/synth-ieee-numeric_std.ads
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-04-26 16:46:43 +0200
committerTristan Gingold <tgingold@free.fr>2020-04-26 16:46:43 +0200
commit6a375b0d724d9f04b56857675827c9cf6bab6498 (patch)
tree96b105219ae9f2fd52cfd0b4925f2ee76a33f3fa /src/synth/synth-ieee-numeric_std.ads
parentfa0964793ae49a40f13d1592ddc4ca50a1895b76 (diff)
downloadghdl-6a375b0d724d9f04b56857675827c9cf6bab6498.tar.gz
ghdl-6a375b0d724d9f04b56857675827c9cf6bab6498.tar.bz2
ghdl-6a375b0d724d9f04b56857675827c9cf6bab6498.zip
synth: implement static sub_sgn_int. Fix #1265
Diffstat (limited to 'src/synth/synth-ieee-numeric_std.ads')
-rw-r--r--src/synth/synth-ieee-numeric_std.ads2
1 files changed, 2 insertions, 0 deletions
diff --git a/src/synth/synth-ieee-numeric_std.ads b/src/synth/synth-ieee-numeric_std.ads
index 7e85f04a0..31beea86f 100644
--- a/src/synth/synth-ieee-numeric_std.ads
+++ b/src/synth/synth-ieee-numeric_std.ads
@@ -36,6 +36,8 @@ package Synth.Ieee.Numeric_Std is
function Sub_Uns_Uns (L, R : Std_Logic_Vector) return Std_Logic_Vector;
+ function Sub_Sgn_Int (L : Std_Logic_Vector; R : Int64)
+ return Std_Logic_Vector;
function Sub_Uns_Nat (L : Std_Logic_Vector; R : Uns64)
return Std_Logic_Vector;