aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit')
-rw-r--r--testsuite/pyunit/Current.vhdl3
1 files changed, 2 insertions, 1 deletions
diff --git a/testsuite/pyunit/Current.vhdl b/testsuite/pyunit/Current.vhdl
index 81887ae7f..b4906e211 100644
--- a/testsuite/pyunit/Current.vhdl
+++ b/testsuite/pyunit/Current.vhdl
@@ -165,7 +165,8 @@ begin
begin
inst4: entity work.counter4(rtl)
port map (
- clk => Clock
+ clk => Clock,
+ value => open
);
end block;