aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-08-18 08:19:44 +0200
committerumarcor <unai.martinezcorral@ehu.eus>2021-08-23 16:35:37 +0200
commit324d56b5ab4b1cdd1c43f412d4139a38dcb90eb9 (patch)
tree1da2e80c4757c85d47ff16bf821ef0d9dd5c6156 /testsuite/pyunit
parent32cb30ea5f674acd7ad7d5923fbd462be38d2046 (diff)
downloadghdl-324d56b5ab4b1cdd1c43f412d4139a38dcb90eb9.tar.gz
ghdl-324d56b5ab4b1cdd1c43f412d4139a38dcb90eb9.tar.bz2
ghdl-324d56b5ab4b1cdd1c43f412d4139a38dcb90eb9.zip
Handle open in map aspects.
Diffstat (limited to 'testsuite/pyunit')
-rw-r--r--testsuite/pyunit/Current.vhdl3
1 files changed, 2 insertions, 1 deletions
diff --git a/testsuite/pyunit/Current.vhdl b/testsuite/pyunit/Current.vhdl
index 81887ae7f..b4906e211 100644
--- a/testsuite/pyunit/Current.vhdl
+++ b/testsuite/pyunit/Current.vhdl
@@ -165,7 +165,8 @@ begin
begin
inst4: entity work.counter4(rtl)
port map (
- clk => Clock
+ clk => Clock,
+ value => open
);
end block;