aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-05-28 20:38:47 +0200
committerTristan Gingold <tgingold@free.fr>2021-05-28 20:38:47 +0200
commit79a66cd66cec9750c2eff204087b0e2b65bb5d4a (patch)
tree118feee9e7f0afe73c756ad80ee3ca13fecd7717 /testsuite
parente6a26cc19e4c3f5b48ece9a0ad570c698b83a00d (diff)
downloadghdl-79a66cd66cec9750c2eff204087b0e2b65bb5d4a.tar.gz
ghdl-79a66cd66cec9750c2eff204087b0e2b65bb5d4a.tar.bz2
ghdl-79a66cd66cec9750c2eff204087b0e2b65bb5d4a.zip
testsuite/gna: add a test for previous commit
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug0100/compon.vhdl9
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh1
2 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/compon.vhdl b/testsuite/gna/bug0100/compon.vhdl
new file mode 100644
index 000000000..f7e8c2fd8
--- /dev/null
+++ b/testsuite/gna/bug0100/compon.vhdl
@@ -0,0 +1,9 @@
+entity compon is
+end;
+
+architecture behav of compon is
+ signal s : bit;
+begin
+ inst: unknown
+ port map (s => s);
+end behav;
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index 1eb613911..342d3af84 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -25,6 +25,7 @@ analyze_failure --force-analysis attr2.vhdl
analyze_failure paren.vhdl
analyze_failure --force-analysis attr3.vhdl
analyze_failure --force-analysis noconst.vhdl
+analyze_failure compon.vhdl
if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then
: