aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0100/compon.vhdl
blob: f7e8c2fd872270671b06475d95625d63c49f46ac (plain)
1
2
3
4
5
6
7
8
9
entity compon is
end;

architecture behav of compon is
  signal s : bit;
begin
  inst: unknown
    port map (s => s);
end behav;