From 79a66cd66cec9750c2eff204087b0e2b65bb5d4a Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Fri, 28 May 2021 20:38:47 +0200 Subject: testsuite/gna: add a test for previous commit --- testsuite/gna/bug0100/compon.vhdl | 9 +++++++++ testsuite/gna/bug0100/testsuite.sh | 1 + 2 files changed, 10 insertions(+) create mode 100644 testsuite/gna/bug0100/compon.vhdl (limited to 'testsuite') diff --git a/testsuite/gna/bug0100/compon.vhdl b/testsuite/gna/bug0100/compon.vhdl new file mode 100644 index 000000000..f7e8c2fd8 --- /dev/null +++ b/testsuite/gna/bug0100/compon.vhdl @@ -0,0 +1,9 @@ +entity compon is +end; + +architecture behav of compon is + signal s : bit; +begin + inst: unknown + port map (s => s); +end behav; diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh index 1eb613911..342d3af84 100755 --- a/testsuite/gna/bug0100/testsuite.sh +++ b/testsuite/gna/bug0100/testsuite.sh @@ -25,6 +25,7 @@ analyze_failure --force-analysis attr2.vhdl analyze_failure paren.vhdl analyze_failure --force-analysis attr3.vhdl analyze_failure --force-analysis noconst.vhdl +analyze_failure compon.vhdl if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then : -- cgit v1.2.3