aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-07-19 19:37:39 +0200
committerTristan Gingold <tgingold@free.fr>2021-07-19 19:37:39 +0200
commit2f4003fa0fc27a61d0c79a54280dfe6869784f0b (patch)
treedeee4a41f720aed0d9dd58fe30f06586f8c9c936 /testsuite
parent22a721fabdf6643611d128328b49f38fcf0f6289 (diff)
downloadghdl-2f4003fa0fc27a61d0c79a54280dfe6869784f0b.tar.gz
ghdl-2f4003fa0fc27a61d0c79a54280dfe6869784f0b.tar.bz2
ghdl-2f4003fa0fc27a61d0c79a54280dfe6869784f0b.zip
testsuite/gna: add a test for previous commit
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug0124/repro2.vhdl20
-rwxr-xr-xtestsuite/gna/bug0124/testsuite.sh1
2 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/bug0124/repro2.vhdl b/testsuite/gna/bug0124/repro2.vhdl
new file mode 100644
index 000000000..e30f7da87
--- /dev/null
+++ b/testsuite/gna/bug0124/repro2.vhdl
@@ -0,0 +1,20 @@
+entity repro2b is
+ generic (type t);
+ port (s : t);
+end repro2b;
+
+architecture behav of repro2b is
+begin
+end behav;
+
+entity repro2 is
+ generic (type t);
+ port (s : t);
+end repro2;
+
+architecture behav of repro2 is
+begin
+ inst: entity work.repro2b
+ generic map (t => t)
+ port map (s => s);
+end behav;
diff --git a/testsuite/gna/bug0124/testsuite.sh b/testsuite/gna/bug0124/testsuite.sh
index 772efc509..17aba5049 100755
--- a/testsuite/gna/bug0124/testsuite.sh
+++ b/testsuite/gna/bug0124/testsuite.sh
@@ -3,5 +3,6 @@
. ../../testenv.sh
$GHDL -s --std=08 repro.vhdl
+$GHDL -s --std=08 repro2.vhdl
echo "Test successful"