From 2f4003fa0fc27a61d0c79a54280dfe6869784f0b Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 19 Jul 2021 19:37:39 +0200 Subject: testsuite/gna: add a test for previous commit --- testsuite/gna/bug0124/repro2.vhdl | 20 ++++++++++++++++++++ testsuite/gna/bug0124/testsuite.sh | 1 + 2 files changed, 21 insertions(+) create mode 100644 testsuite/gna/bug0124/repro2.vhdl (limited to 'testsuite') diff --git a/testsuite/gna/bug0124/repro2.vhdl b/testsuite/gna/bug0124/repro2.vhdl new file mode 100644 index 000000000..e30f7da87 --- /dev/null +++ b/testsuite/gna/bug0124/repro2.vhdl @@ -0,0 +1,20 @@ +entity repro2b is + generic (type t); + port (s : t); +end repro2b; + +architecture behav of repro2b is +begin +end behav; + +entity repro2 is + generic (type t); + port (s : t); +end repro2; + +architecture behav of repro2 is +begin + inst: entity work.repro2b + generic map (t => t) + port map (s => s); +end behav; diff --git a/testsuite/gna/bug0124/testsuite.sh b/testsuite/gna/bug0124/testsuite.sh index 772efc509..17aba5049 100755 --- a/testsuite/gna/bug0124/testsuite.sh +++ b/testsuite/gna/bug0124/testsuite.sh @@ -3,5 +3,6 @@ . ../../testenv.sh $GHDL -s --std=08 repro.vhdl +$GHDL -s --std=08 repro2.vhdl echo "Test successful" -- cgit v1.2.3