aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/bug0124/repro2.vhdl20
-rwxr-xr-xtestsuite/gna/bug0124/testsuite.sh1
2 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/bug0124/repro2.vhdl b/testsuite/gna/bug0124/repro2.vhdl
new file mode 100644
index 000000000..e30f7da87
--- /dev/null
+++ b/testsuite/gna/bug0124/repro2.vhdl
@@ -0,0 +1,20 @@
+entity repro2b is
+ generic (type t);
+ port (s : t);
+end repro2b;
+
+architecture behav of repro2b is
+begin
+end behav;
+
+entity repro2 is
+ generic (type t);
+ port (s : t);
+end repro2;
+
+architecture behav of repro2 is
+begin
+ inst: entity work.repro2b
+ generic map (t => t)
+ port map (s => s);
+end behav;
diff --git a/testsuite/gna/bug0124/testsuite.sh b/testsuite/gna/bug0124/testsuite.sh
index 772efc509..17aba5049 100755
--- a/testsuite/gna/bug0124/testsuite.sh
+++ b/testsuite/gna/bug0124/testsuite.sh
@@ -3,5 +3,6 @@
. ../../testenv.sh
$GHDL -s --std=08 repro.vhdl
+$GHDL -s --std=08 repro2.vhdl
echo "Test successful"