aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec09.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-10-08 18:43:52 +0200
committerTristan Gingold <tgingold@free.fr>2019-10-08 18:43:52 +0200
commit0a3ca95575c7c5d3c153ec2de271613c228124a8 (patch)
tree43234189433a515b75591e9ce814236cd633a09e /testsuite/synth/dispout01/rec09.vhdl
parent32272b4c7e384e0f54a8216ee14db5b8554f9793 (diff)
downloadghdl-0a3ca95575c7c5d3c153ec2de271613c228124a8.tar.gz
ghdl-0a3ca95575c7c5d3c153ec2de271613c228124a8.tar.bz2
ghdl-0a3ca95575c7c5d3c153ec2de271613c228124a8.zip
testsuite/synth: add a test for previous commit.
Diffstat (limited to 'testsuite/synth/dispout01/rec09.vhdl')
-rw-r--r--testsuite/synth/dispout01/rec09.vhdl13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/synth/dispout01/rec09.vhdl b/testsuite/synth/dispout01/rec09.vhdl
new file mode 100644
index 000000000..f009a7026
--- /dev/null
+++ b/testsuite/synth/dispout01/rec09.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use work.rec09_pkg.all;
+
+entity rec09 is
+ port (inp : std_logic;
+ o : out myrec);
+end rec09;
+
+architecture behav of rec09 is
+begin
+ o.b <= not inp;
+end behav;