aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec09.vhdl
blob: f009a70266f01df00df23b4845ae4cdb083c2f52 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use work.rec09_pkg.all;

entity rec09 is
  port (inp : std_logic;
        o : out myrec);
end rec09;

architecture behav of rec09 is
begin
  o.b <= not inp;
end behav;