aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/003errors/replies.json
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-01-14 19:44:57 +0100
committerTristan Gingold <tgingold@free.fr>2021-01-16 11:20:10 +0100
commit115c91319dac7b71a8572dfbe3c64564962b14c9 (patch)
tree1e4319be5c0c21a79bc4963930bd91f029b94432 /testsuite/pyunit/lsp/003errors/replies.json
parent2e769f38257102efca35f2715d3bf241dc628bc5 (diff)
downloadghdl-115c91319dac7b71a8572dfbe3c64564962b14c9.tar.gz
ghdl-115c91319dac7b71a8572dfbe3c64564962b14c9.tar.bz2
ghdl-115c91319dac7b71a8572dfbe3c64564962b14c9.zip
pyunit/lsp: fix and reenable some tests
Diffstat (limited to 'testsuite/pyunit/lsp/003errors/replies.json')
-rw-r--r--testsuite/pyunit/lsp/003errors/replies.json2
1 files changed, 1 insertions, 1 deletions
diff --git a/testsuite/pyunit/lsp/003errors/replies.json b/testsuite/pyunit/lsp/003errors/replies.json
index 02e9cac85..88f962da5 100644
--- a/testsuite/pyunit/lsp/003errors/replies.json
+++ b/testsuite/pyunit/lsp/003errors/replies.json
@@ -27,7 +27,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file:///home/tgingold/work/vhdl-language-server/tests/003errors/tc.vhdl",
+ "uri": "file://pyunit/lsp/003errors/tc.vhdl",
"diagnostics": [
{
"source": "ghdl",