aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/003errors/replies.json
blob: 02e9cac85c280db24284165036b27a789ce6bb39 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
[
  {
    "jsonrpc": "2.0",
    "id": 0,
    "result": {
      "capabilities": {
        "textDocumentSync": {
          "openClose": true,
          "change": 2,
          "save": {
            "includeText": true
          }
        },
        "hoverProvider": false,
        "definitionProvider": true,
        "referencesProvider": false,
        "documentHighlightProvider": false,
        "documentSymbolProvider": true,
        "codeActionProvider": false,
        "documentFormattingProvider": false,
        "documentRangeFormattingProvider": true,
        "renameProvider": false
      }
    }
  },
  {
    "jsonrpc": "2.0",
    "method": "textDocument/publishDiagnostics",
    "params": {
      "uri": "file:///home/tgingold/work/vhdl-language-server/tests/003errors/tc.vhdl",
      "diagnostics": [
        {
          "source": "ghdl",
          "range": {
            "start": {
              "line": 2,
              "character": 9
            },
            "end": {
              "line": 2,
              "character": 9
            }
          },
          "message": "unit \"std_logic_unsigned\" not found in library \"ieee\"",
          "severity": 1
        },
        {
          "source": "ghdl",
          "range": {
            "start": {
              "line": 2,
              "character": 9
            },
            "end": {
              "line": 2,
              "character": 9
            }
          },
          "message": " (use --ieee=synopsys for non-standard synopsys packages)",
          "severity": 1
        }
      ]
    }
  },
  {
    "jsonrpc": "2.0",
    "id": 1,
    "result": [
      {
        "kind": 2,
        "name": "tb",
        "location": {
          "uri": "file:///home/tgingold/work/vhdl-language-server/tests/003errors/tc.vhdl",
          "range": {
            "start": {
              "line": 4,
              "character": 0
            },
            "end": {
              "line": 5,
              "character": 0
            }
          }
        }
      },
      {
        "kind": 2,
        "name": "behav",
        "location": {
          "uri": "file:///home/tgingold/work/vhdl-language-server/tests/003errors/tc.vhdl",
          "range": {
            "start": {
              "line": 7,
              "character": 0
            },
            "end": {
              "line": 11,
              "character": 0
            }
          }
        }
      }
    ]
  },
  {
    "jsonrpc": "2.0",
    "id": 2,
    "result": null
  }
]