aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/003errors
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-01-14 19:44:57 +0100
committerTristan Gingold <tgingold@free.fr>2021-01-16 11:20:10 +0100
commit115c91319dac7b71a8572dfbe3c64564962b14c9 (patch)
tree1e4319be5c0c21a79bc4963930bd91f029b94432 /testsuite/pyunit/lsp/003errors
parent2e769f38257102efca35f2715d3bf241dc628bc5 (diff)
downloadghdl-115c91319dac7b71a8572dfbe3c64564962b14c9.tar.gz
ghdl-115c91319dac7b71a8572dfbe3c64564962b14c9.tar.bz2
ghdl-115c91319dac7b71a8572dfbe3c64564962b14c9.zip
pyunit/lsp: fix and reenable some tests
Diffstat (limited to 'testsuite/pyunit/lsp/003errors')
-rw-r--r--testsuite/pyunit/lsp/003errors/cmds.json10
-rw-r--r--testsuite/pyunit/lsp/003errors/replies.json2
2 files changed, 6 insertions, 6 deletions
diff --git a/testsuite/pyunit/lsp/003errors/cmds.json b/testsuite/pyunit/lsp/003errors/cmds.json
index 03d6b1e37..20fabab7e 100644
--- a/testsuite/pyunit/lsp/003errors/cmds.json
+++ b/testsuite/pyunit/lsp/003errors/cmds.json
@@ -5,8 +5,8 @@
"method": "initialize",
"params": {
"processId": 5529,
- "rootPath": "/home/tgingold/work/vhdl-language-server/tests/003errors",
- "rootUri": "file:///home/tgingold/work/vhdl-language-server/tests/003errors",
+ "rootPath": "/003errors",
+ "rootUri": "file://003errors",
"capabilities": {
"workspace": {
"applyEdit": true,
@@ -203,7 +203,7 @@
"trace": "off",
"workspaceFolders": [
{
- "uri": "file:///home/tgingold/work/vhdl-language-server/tests/003errors",
+ "uri": "file://pyunit/lsp/003errors",
"name": "003errors"
}
]
@@ -219,7 +219,7 @@
"method": "textDocument/didOpen",
"params": {
"textDocument": {
- "uri": "file:///home/tgingold/work/vhdl-language-server/tests/003errors/tc.vhdl",
+ "uri": "file://pyunit/lsp/003errors/tc.vhdl",
"languageId": "vhdl",
"version": 1,
"text": "library ieee;\nuse ieee.std_logic_1164.all;\nuse ieee.std_logic_unsigned.all;\n\nentity tb is\nend tb;\n\narchitecture behav of tb is\n signal s : std_logic_vector(7 downto 0);\nbegin\n assert s /= x\"73\";\nend behav; \n"
@@ -232,7 +232,7 @@
"method": "textDocument/documentSymbol",
"params": {
"textDocument": {
- "uri": "file:///home/tgingold/work/vhdl-language-server/tests/003errors/tc.vhdl"
+ "uri": "file://pyunit/lsp/003errors/tc.vhdl"
}
}
},
diff --git a/testsuite/pyunit/lsp/003errors/replies.json b/testsuite/pyunit/lsp/003errors/replies.json
index 02e9cac85..88f962da5 100644
--- a/testsuite/pyunit/lsp/003errors/replies.json
+++ b/testsuite/pyunit/lsp/003errors/replies.json
@@ -27,7 +27,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file:///home/tgingold/work/vhdl-language-server/tests/003errors/tc.vhdl",
+ "uri": "file://pyunit/lsp/003errors/tc.vhdl",
"diagnostics": [
{
"source": "ghdl",