aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-25 15:43:52 +0100
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-25 16:32:11 +0100
commit8e1b0568057bbe6d81d68aa47b9b6fc42bdb2de4 (patch)
tree451974c69170e1d3b7348b89b7336157190f8cf2 /testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl
parentf276ac42e251190c4f6cb2bbc4b488923f328551 (diff)
downloadghdl-8e1b0568057bbe6d81d68aa47b9b6fc42bdb2de4.tar.gz
ghdl-8e1b0568057bbe6d81d68aa47b9b6fc42bdb2de4.tar.bz2
ghdl-8e1b0568057bbe6d81d68aa47b9b6fc42bdb2de4.zip
Added one-line code documentations.
Diffstat (limited to 'testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl')
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl1
1 files changed, 1 insertions, 0 deletions
diff --git a/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl b/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl
index 8daf39614..16a40ccba 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl
@@ -8,6 +8,7 @@ use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
+-- Useful utility functions and types.
package Utilities is
type freq is range integer'low to integer'high units
Hz;