aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom/examples/StopWatch
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-25 15:43:52 +0100
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2022-12-25 16:32:11 +0100
commit8e1b0568057bbe6d81d68aa47b9b6fc42bdb2de4 (patch)
tree451974c69170e1d3b7348b89b7336157190f8cf2 /testsuite/pyunit/dom/examples/StopWatch
parentf276ac42e251190c4f6cb2bbc4b488923f328551 (diff)
downloadghdl-8e1b0568057bbe6d81d68aa47b9b6fc42bdb2de4.tar.gz
ghdl-8e1b0568057bbe6d81d68aa47b9b6fc42bdb2de4.tar.bz2
ghdl-8e1b0568057bbe6d81d68aa47b9b6fc42bdb2de4.zip
Added one-line code documentations.
Diffstat (limited to 'testsuite/pyunit/dom/examples/StopWatch')
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/StopWatch.pkg.vhdl2
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl1
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/seg7_Encoder.vhdl1
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/toplevel.Encoder.vhdl1
4 files changed, 4 insertions, 1 deletions
diff --git a/testsuite/pyunit/dom/examples/StopWatch/StopWatch.pkg.vhdl b/testsuite/pyunit/dom/examples/StopWatch/StopWatch.pkg.vhdl
index 3bcafdd6d..1a40718aa 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/StopWatch.pkg.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/StopWatch.pkg.vhdl
@@ -7,7 +7,7 @@ library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-
+-- Package with stop watch specific types.
package StopWatch_pkg is
subtype T_BCD is unsigned(3 downto 0);
type T_BCD_Vector is array(natural range <>) of T_BCD;
diff --git a/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl b/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl
index 8daf39614..16a40ccba 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl
@@ -8,6 +8,7 @@ use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
+-- Useful utility functions and types.
package Utilities is
type freq is range integer'low to integer'high units
Hz;
diff --git a/testsuite/pyunit/dom/examples/StopWatch/seg7_Encoder.vhdl b/testsuite/pyunit/dom/examples/StopWatch/seg7_Encoder.vhdl
index e4c731ff9..3742982be 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/seg7_Encoder.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/seg7_Encoder.vhdl
@@ -11,6 +11,7 @@ use work.Utilities.all;
use work.StopWatch_pkg.all;
+-- Encoder that translates from 4-bit binary (BCD) to 7-segment code.
entity seg7_Encoder is
port (
BCDValue : in T_BCD;
diff --git a/testsuite/pyunit/dom/examples/StopWatch/toplevel.Encoder.vhdl b/testsuite/pyunit/dom/examples/StopWatch/toplevel.Encoder.vhdl
index 7775a6eb6..58294b67f 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/toplevel.Encoder.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/toplevel.Encoder.vhdl
@@ -11,6 +11,7 @@ use work.Utilities.all;
use work.StopWatch_pkg.all;
+-- Toplevel module to demonstrate the translation of 4 slide-switches to 1 digit 7-segment display.
entity toplevel is
port (
NexysA7_GPIO_Switch : in std_logic_vector(3 downto 0);