From 8e1b0568057bbe6d81d68aa47b9b6fc42bdb2de4 Mon Sep 17 00:00:00 2001 From: Patrick Lehmann Date: Sun, 25 Dec 2022 15:43:52 +0100 Subject: Added one-line code documentations. --- testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl | 1 + 1 file changed, 1 insertion(+) (limited to 'testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl') diff --git a/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl b/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl index 8daf39614..16a40ccba 100644 --- a/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl +++ b/testsuite/pyunit/dom/examples/StopWatch/Utilities.pkg.vhdl @@ -8,6 +8,7 @@ use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; +-- Useful utility functions and types. package Utilities is type freq is range integer'low to integer'high units Hz; -- cgit v1.2.3