aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue228/testsuite.sh
diff options
context:
space:
mode:
authorThomas Hiscock <thomas.hiscock@wanadoo.fr>2017-01-05 21:02:13 +0100
committerThomas Hiscock <thomas.hiscock@wanadoo.fr>2017-01-05 21:42:07 +0100
commit46b02a2f1217646ee475e5169a372690d88255ea (patch)
treeaf57d47fc380869da9cbdbd05d177ef27e6f8f12 /testsuite/gna/issue228/testsuite.sh
parent603e2fe204cb578e2ac3f67b80a1c447205d40b2 (diff)
downloadghdl-46b02a2f1217646ee475e5169a372690d88255ea.tar.gz
ghdl-46b02a2f1217646ee475e5169a372690d88255ea.tar.bz2
ghdl-46b02a2f1217646ee475e5169a372690d88255ea.zip
removing PSL coverage hit default report
It closes #228. Nothing is displayed unless the user specifies a report statement. Testcase added to check this behaviour.
Diffstat (limited to 'testsuite/gna/issue228/testsuite.sh')
-rwxr-xr-xtestsuite/gna/issue228/testsuite.sh15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/issue228/testsuite.sh b/testsuite/gna/issue228/testsuite.sh
new file mode 100755
index 000000000..437efa718
--- /dev/null
+++ b/testsuite/gna/issue228/testsuite.sh
@@ -0,0 +1,15 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=-fpsl
+analyze tb.vhdl
+elab_simulate foo_tb 2>sim_log.txt
+
+run "grep -q 'falling_a0 custom report' sim_log.txt"
+run_failure "grep -q 'sequence covered' sim_log.txt"
+
+rm -f sim_log.txt
+clean
+
+echo "Test successful"