From 46b02a2f1217646ee475e5169a372690d88255ea Mon Sep 17 00:00:00 2001 From: Thomas Hiscock Date: Thu, 5 Jan 2017 21:02:13 +0100 Subject: removing PSL coverage hit default report It closes #228. Nothing is displayed unless the user specifies a report statement. Testcase added to check this behaviour. --- testsuite/gna/issue228/testsuite.sh | 15 +++++++++++++++ 1 file changed, 15 insertions(+) create mode 100755 testsuite/gna/issue228/testsuite.sh (limited to 'testsuite/gna/issue228/testsuite.sh') diff --git a/testsuite/gna/issue228/testsuite.sh b/testsuite/gna/issue228/testsuite.sh new file mode 100755 index 000000000..437efa718 --- /dev/null +++ b/testsuite/gna/issue228/testsuite.sh @@ -0,0 +1,15 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS=-fpsl +analyze tb.vhdl +elab_simulate foo_tb 2>sim_log.txt + +run "grep -q 'falling_a0 custom report' sim_log.txt" +run_failure "grep -q 'sequence covered' sim_log.txt" + +rm -f sim_log.txt +clean + +echo "Test successful" -- cgit v1.2.3