aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2023-04-30 19:14:14 +0200
committerTristan Gingold <tgingold@free.fr>2023-04-30 19:32:26 +0200
commitae9bcf634f5a556a3768adb866df82435e20fb10 (patch)
tree8ed0e39dde0b56b1e7bd37deae204a0d5a77059c
parentaeb15c6d168fd032689c85fdb42e2a4904bfbd6f (diff)
downloadghdl-ae9bcf634f5a556a3768adb866df82435e20fb10.tar.gz
ghdl-ae9bcf634f5a556a3768adb866df82435e20fb10.tar.bz2
ghdl-ae9bcf634f5a556a3768adb866df82435e20fb10.zip
testsuite/gna: add a reproducer for #2427
-rw-r--r--testsuite/gna/issue2427/pkg.vhdl15
-rwxr-xr-xtestsuite/gna/issue2427/testsuite.sh13
2 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/gna/issue2427/pkg.vhdl b/testsuite/gna/issue2427/pkg.vhdl
new file mode 100644
index 000000000..ba7064020
--- /dev/null
+++ b/testsuite/gna/issue2427/pkg.vhdl
@@ -0,0 +1,15 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.all;
+
+package pkg is
+ attribute Bits : integer;
+
+ type MY_TYPE is array (5 downto 0) of std_logic_vector(7 downto 0);
+ attribute Bits of MY_TYPE : type is 6 * 8; --Error
+
+ type MY_RECORD is record
+ sig : std_logic;
+ end record;
+ attribute Bits of MY_RECORD : type is 3 + 3; --No Error
+
+end package;
diff --git a/testsuite/gna/issue2427/testsuite.sh b/testsuite/gna/issue2427/testsuite.sh
new file mode 100755
index 000000000..b00250c15
--- /dev/null
+++ b/testsuite/gna/issue2427/testsuite.sh
@@ -0,0 +1,13 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=93
+analyze_failure pkg.vhdl
+
+export GHDL_STD_FLAGS=--std=93c
+analyze pkg.vhdl
+
+clean
+
+echo "Test successful"