aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2427/pkg.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2427/pkg.vhdl')
-rw-r--r--testsuite/gna/issue2427/pkg.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/issue2427/pkg.vhdl b/testsuite/gna/issue2427/pkg.vhdl
new file mode 100644
index 000000000..ba7064020
--- /dev/null
+++ b/testsuite/gna/issue2427/pkg.vhdl
@@ -0,0 +1,15 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.all;
+
+package pkg is
+ attribute Bits : integer;
+
+ type MY_TYPE is array (5 downto 0) of std_logic_vector(7 downto 0);
+ attribute Bits of MY_TYPE : type is 6 * 8; --Error
+
+ type MY_RECORD is record
+ sig : std_logic;
+ end record;
+ attribute Bits of MY_RECORD : type is 3 + 3; --No Error
+
+end package;