aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2427/pkg.vhdl
blob: ba7064020b015cee3bef2a8041d7180fbb6522cd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library IEEE;
use IEEE.STD_LOGIC_1164.all;

package pkg is
	attribute Bits        : integer;

	type MY_TYPE is array (5 downto 0) of std_logic_vector(7 downto 0);
	attribute Bits   of MY_TYPE : type is 6 * 8; --Error
	
	type MY_RECORD is record
		sig      : std_logic;
	end record;
	attribute Bits   of MY_RECORD : type is 3 + 3; --No Error
	
end package;