aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-12-10 07:59:56 +0100
committerTristan Gingold <tgingold@free.fr>2022-12-10 07:59:56 +0100
commit7739aad5085e7076807545000662fd819cca2f3a (patch)
tree87ad9dc06a149e8bc31f7da5450ca0bd1989e473
parent63bfd5f5f928660b20382da9f7b2d61ae7077962 (diff)
downloadghdl-7739aad5085e7076807545000662fd819cca2f3a.tar.gz
ghdl-7739aad5085e7076807545000662fd819cca2f3a.tar.bz2
ghdl-7739aad5085e7076807545000662fd819cca2f3a.zip
testsuite/gna: add a test for #2267
-rw-r--r--testsuite/gna/issue2267/ent.vhdl8
-rwxr-xr-xtestsuite/gna/issue2267/testsuite.sh10
2 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/gna/issue2267/ent.vhdl b/testsuite/gna/issue2267/ent.vhdl
new file mode 100644
index 000000000..f74eb2e21
--- /dev/null
+++ b/testsuite/gna/issue2267/ent.vhdl
@@ -0,0 +1,8 @@
+entity ent is
+end entity;
+
+architecture a of ent is
+ signal sig0 : integer_vector(0 to 7);
+ signal sig1 : sig0'subtype(0 to 3);
+begin
+end;
diff --git a/testsuite/gna/issue2267/testsuite.sh b/testsuite/gna/issue2267/testsuite.sh
new file mode 100755
index 000000000..d09d0cc6f
--- /dev/null
+++ b/testsuite/gna/issue2267/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure ent.vhdl
+
+clean
+
+echo "Test successful"