aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/gna/issue2267/ent.vhdl8
-rwxr-xr-xtestsuite/gna/issue2267/testsuite.sh10
2 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/gna/issue2267/ent.vhdl b/testsuite/gna/issue2267/ent.vhdl
new file mode 100644
index 000000000..f74eb2e21
--- /dev/null
+++ b/testsuite/gna/issue2267/ent.vhdl
@@ -0,0 +1,8 @@
+entity ent is
+end entity;
+
+architecture a of ent is
+ signal sig0 : integer_vector(0 to 7);
+ signal sig1 : sig0'subtype(0 to 3);
+begin
+end;
diff --git a/testsuite/gna/issue2267/testsuite.sh b/testsuite/gna/issue2267/testsuite.sh
new file mode 100755
index 000000000..d09d0cc6f
--- /dev/null
+++ b/testsuite/gna/issue2267/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure ent.vhdl
+
+clean
+
+echo "Test successful"