From 7739aad5085e7076807545000662fd819cca2f3a Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 10 Dec 2022 07:59:56 +0100 Subject: testsuite/gna: add a test for #2267 --- testsuite/gna/issue2267/ent.vhdl | 8 ++++++++ testsuite/gna/issue2267/testsuite.sh | 10 ++++++++++ 2 files changed, 18 insertions(+) create mode 100644 testsuite/gna/issue2267/ent.vhdl create mode 100755 testsuite/gna/issue2267/testsuite.sh diff --git a/testsuite/gna/issue2267/ent.vhdl b/testsuite/gna/issue2267/ent.vhdl new file mode 100644 index 000000000..f74eb2e21 --- /dev/null +++ b/testsuite/gna/issue2267/ent.vhdl @@ -0,0 +1,8 @@ +entity ent is +end entity; + +architecture a of ent is + signal sig0 : integer_vector(0 to 7); + signal sig1 : sig0'subtype(0 to 3); +begin +end; diff --git a/testsuite/gna/issue2267/testsuite.sh b/testsuite/gna/issue2267/testsuite.sh new file mode 100755 index 000000000..d09d0cc6f --- /dev/null +++ b/testsuite/gna/issue2267/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze_failure ent.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3