aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/examples/blackbox/blackbox3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/examples/blackbox/blackbox3.vhdl')
-rw-r--r--testsuite/examples/blackbox/blackbox3.vhdl4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/examples/blackbox/blackbox3.vhdl b/testsuite/examples/blackbox/blackbox3.vhdl
index a506df0..864247d 100644
--- a/testsuite/examples/blackbox/blackbox3.vhdl
+++ b/testsuite/examples/blackbox/blackbox3.vhdl
@@ -9,10 +9,10 @@ end;
architecture behav of blackbox3 is
component \lib__cell__box2.3\ is
port (a, b : std_logic;
- \OUT\ : out std_logic);
+ \O\ : out std_logic);
end component;
begin
inst: \lib__cell__box2.3\
- port map (a => a, b => b, \OUT\ => o);
+ port map (a => a, b => b, \O\ => o);
end behav;