aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/examples/blackbox/blackbox3.vhdl
blob: a506df0095ac2d35a65874b7efe0cc037f75d022 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
use ieee.std_logic_1164.all;

entity blackbox3 is
  port (a, b : std_logic;
        o : out std_logic);
end;

architecture behav of blackbox3 is
  component \lib__cell__box2.3\ is
    port (a, b : std_logic;
          \OUT\ : out std_logic);
  end component;
begin
  inst: \lib__cell__box2.3\
    port map (a => a, b => b, \OUT\ => o);
end behav;